Advertisement
Benny1994

Untitled

Sep 10th, 2023
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. `timescale 1ns/1ps
  2. module buttontoled_tb(input reg i_sw, output reg o_led);
  3. buttontoled UUT (.i_sw(i_sw), .o_led(o_led));
  4. always@*// i would asume this is always statment is
  5. begin
  6. i_sw = ~i_sw;
  7. #10;
  8. end
  9.  
  10. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement