Advertisement
lasthunter657

Untitled

Dec 8th, 2021
195
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.26 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.NUMERIC_STD.ALL;
  4. USE work.ITCE211Project_library.ALL;
  5.  
  6. ENTITY MEengine IS
  7.  
  8. PORT (
  9. eni, reset, clk : IN STD_LOGIC;
  10. mv : OUT STD_LOGIC_VECTOR (data_output - 1 DOWNTO 0)
  11. );
  12. END MEengine;
  13.  
  14. ARCHITECTURE Behavioral OF MEengine IS
  15. COMPONENT pe IS
  16. PORT (
  17. numberin : INOUT STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
  18. numberout, number : INOUT STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
  19. clk, eni, reset : IN STD_LOGIC
  20. );
  21.  
  22. END COMPONENT;
  23.  
  24.  
  25. ----------------------Signals-------------------------------------
  26. SIGNAL numberin : vector_array(data_width - 1 DOWNTO 0);
  27. SIGNAL numberout : vector_array (data_width - 1 DOWNTO 0);
  28. SIGNAL number : vector_array (data_width - 1 DOWNTO 0);
  29.  
  30. BEGIN
  31. ----------------------Generate component-------------------------------------
  32. gen_pro_ele : FOR i IN 0 TO number_of_PE - 1 GENERATE
  33. Processing_Element : pe PORT MAP(
  34. numberin => numberin(i),
  35. numberout => numberout(i),
  36. number => number(i),
  37. clk => clk,
  38. reset => reset,
  39. eni => eni);
  40. END GENERATE gen_pro_ele;
  41. END behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement