Advertisement
Guest User

Untitled

a guest
Jun 5th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module d_trigger(d, clk, q);
  2.     input wire d;
  3.     input wire clk;
  4.     output wire q;
  5.     wire r;
  6.     wire a;
  7.     wire b;
  8.  
  9.     assign a = d ~& clk;
  10.     assign b = a ~& clk;
  11.     assign q = a ~& r;
  12.     assign r = b ~& q;
  13. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement