Advertisement
Guest User

Untitled

a guest
Jan 27th, 2020
112
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.13 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 12:48:23 01/28/2020
  7. // Design Name:
  8. // Module Name: coin
  9. // Project Name:
  10. // Target Devices:
  11. // Tool versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module coin( input fifty, dollar, cancel, clk, rst,
  22. output st, insert_coin, money_return, dispense
  23. );
  24.  
  25. parameter INIT=0,S50c=1,VEND=2,RETURN=3;
  26.  
  27. reg [1:0] nst, st
  28.  
  29. always @ (posedge clk)
  30. begin
  31. if(!rst)
  32. st <= INIT
  33. else
  34. st <= nst;
  35. end
  36.  
  37. always @*
  38. begin
  39. nst = st;
  40. case(st)
  41. INIT: begin
  42. insert_coin = 1;
  43. money_return = 0;
  44. dispense = 0;
  45. if(fify) begin
  46. nst = S50c;
  47. end
  48. if(dollar) begin
  49. nst = VEND;
  50. end
  51. S50c: begin
  52. insert_coin = 0;
  53. if(fifty) begin
  54. nst = VEND;
  55. insert_coin = 0;
  56. money_return = 0;
  57. dispense = 0;
  58. end
  59. if(dollar|cancel)
  60.  
  61. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement