Advertisement
Guest User

Untitled

a guest
Mar 5th, 2019
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module reg_maps_to_wire(input A, B, clk,
  2.                         output reg f1, f2);
  3.     always @(posedge clk) begin
  4.         f2 <= f1 ^ f2;
  5.         f1 <= ~(A & B);
  6.     end
  7. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement