Guest User

Untitled

a guest
Nov 19th, 2017
95
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.32 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.Std_logic_1164.all;
  3. use IEEE.Numeric_Std.all;
  4.  
  5. entity weight_bram_wrapper_tb is
  6. end;
  7.  
  8. architecture bench of weight_bram_wrapper_tb is
  9.  
  10. component weight_bram_wrapper
  11. port (
  12. BRAM_PORTA_addr : in STD_LOGIC_VECTOR ( 12 downto 0 );
  13. BRAM_PORTA_clk : in STD_LOGIC;
  14. BRAM_PORTA_din : in STD_LOGIC_VECTOR ( 31 downto 0 );
  15. BRAM_PORTA_dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
  16. BRAM_PORTA_en : in STD_LOGIC;
  17. BRAM_PORTA_we : in STD_LOGIC_VECTOR ( 3 downto 0 )
  18. );
  19. end component;
  20.  
  21. signal BRAM_PORTA_addr: STD_LOGIC_VECTOR ( 12 downto 0 );
  22. signal BRAM_PORTA_clk: STD_LOGIC;
  23. signal BRAM_PORTA_din: STD_LOGIC_VECTOR ( 31 downto 0 );
  24. signal BRAM_PORTA_dout: STD_LOGIC_VECTOR ( 31 downto 0 );
  25. signal BRAM_PORTA_en: STD_LOGIC;
  26. signal BRAM_PORTA_we: STD_LOGIC_VECTOR ( 3 downto 0 ) ;
  27.  
  28. begin
  29.  
  30. uut: weight_bram_wrapper port map ( BRAM_PORTA_addr => BRAM_PORTA_addr,
  31. BRAM_PORTA_clk => BRAM_PORTA_clk,
  32. BRAM_PORTA_din => BRAM_PORTA_din,
  33. BRAM_PORTA_dout => BRAM_PORTA_dout,
  34. BRAM_PORTA_en => BRAM_PORTA_en,
  35. BRAM_PORTA_we => BRAM_PORTA_we );
  36.  
  37. stimulus: process
  38. begin
  39.  
  40. -- Put initialisation code here
  41.  
  42.  
  43. -- Put test bench stimulus code here
  44.  
  45. wait;
  46. end process;
  47.  
  48.  
  49. end;
Add Comment
Please, Sign In to add comment