Advertisement
Guest User

Untitled

a guest
Jul 4th, 2017
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module villogo(
  2.         input clk,
  3.         input rst,
  4.         input SW0,
  5.         output reg LED
  6. );
  7.  
  8. reg [26:0] Q;
  9.  
  10. always @(posedge clk)
  11. begin
  12.     if(rst)
  13.     begin
  14.         LED <= 0;
  15.         Q <= 0;
  16.     end
  17.     if(!SW0)
  18.     begin
  19.         if(Q == 49999999)
  20.         begin
  21.             LED <= ~LED;
  22.             Q <= 0;
  23.         end
  24.         else
  25.             Q <= Q +1;
  26.     end
  27.     else if(SW0)
  28.     begin
  29.         if(Q == 99999999)
  30.         begin
  31.             LED <= ~LED;
  32.             Q <= 0;
  33.         end
  34.         else Q <= Q + 1;
  35.     end
  36.  
  37. end
  38. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement