Advertisement
Guest User

Untitled

a guest
Mar 23rd, 2019
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.17 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. ENTITY komparator IS
  4. PORT
  5. (
  6. c: in std_logic_vector(3 downto 0);
  7. hex0,hex1: out std_logic_vector(6 downto 0)
  8. );
  9. END komparator;
  10. ARCHITECTURE liczby OF komparator IS
  11. BEGIN
  12. with c select
  13. hex0 <=
  14. "1000000" when "0000", -- 0
  15. "1111000" when "0001", --1
  16. "0100100" when "0010", -- 2
  17. "0110000" when "0011", -- 3
  18. "0011001" when "0100", -- 4
  19. "0010010" when "0101", -- 5
  20. "0000010" when "0110", -- 6
  21. "1111000" when "0111", -- 7
  22. "0000000" when "1000", -- 8
  23. "0010000" when "1001", -- 9
  24. "1000000" when "1010", -- 10
  25. "1111000" when "1011", -- 11
  26. "0100100" when "1100", -- 12
  27. "0110000" when "1101", -- 13
  28. "0011001" when "1110", -- 14
  29. "0010010" when "1111", -- 15
  30. "1111111" when others;
  31.  
  32. with c select
  33. hex1 <=
  34.  
  35. "1111000" when "1010", --10
  36. "1111000" when "1011",-- 11
  37. "1111000" when "1100", --12
  38. "1111000" when "1101", --13
  39. "1111000" when "1110", --14
  40. "1111000" when "1111", --15
  41. "1000000" when others;
  42.  
  43. END liczby;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement