Advertisement
Guest User

Untitled

a guest
May 10th, 2018
120
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
Scala 0.42 KB | None | 0 0
  1. import chisel3._
  2.  
  3. class Multiplier extends Module{
  4.     val io = IO(new Bundle{
  5.         val a = Input(UInt(32.W))
  6.         val b = Input(UInt(32.W))
  7.         val out = Output(UInt(64.W))
  8.     })
  9.  
  10.  
  11.  
  12.     when ( io.b =/= 0.U ){
  13.         when (io.b(0) === 1.U){
  14.             val add = Module( new Addern(64) )
  15.             add.io.a(31,0) := io.a
  16.             add.io.b(31,0) := io.out
  17.             add.io.cin := 0.U
  18.             io.out := add.io.sum
  19.         }
  20.         io.a := io.a << 1
  21.         io.b := io.b >> 1
  22.     }
  23. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement