Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- import chisel3._
- class Multiplier extends Module{
- val io = IO(new Bundle{
- val a = Input(UInt(32.W))
- val b = Input(UInt(32.W))
- val out = Output(UInt(64.W))
- })
- when ( io.b =/= 0.U ){
- when (io.b(0) === 1.U){
- val add = Module( new Addern(64) )
- add.io.a(31,0) := io.a
- add.io.b(31,0) := io.out
- add.io.cin := 0.U
- io.out := add.io.sum
- }
- io.a := io.a << 1
- io.b := io.b >> 1
- }
- }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement