Advertisement
lasthunter657

Untitled

Dec 12th, 2021
190
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.22 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.NUMERIC_STD.ALL;
  4. PACKAGE ITCE211Project_library IS
  5. ----------------------Constant------------------------------------
  6. CONSTANT number_of_PE : INTEGER := 4 ;
  7. CONSTANT data_width : INTEGER := 4;
  8. CONSTANT data_output : INTEGER := number_of_PE *data_width;
  9.  
  10.  
  11. ------------------------Custom types ------------------------------------
  12. TYPE vector_array IS ARRAY (NATURAL RANGE <>) OF STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
  13. type my_customtype is array(0 to number_of_pe - 1) of std_logic_vector(data_width - 1 downto 0);
  14. ----------------------pe Signals------------------------------------
  15. SIGNAL stored : STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0) := (OTHERS => '0');
  16.  
  17. ----------------------Pe Array_Signals------------------------------
  18. SIGNAL enablesig : std_logic_vector(number_of_pe - 1 DOWNTO 0) := (OTHERS => '0');
  19.  
  20.  
  21.  
  22.  
  23.  
  24. ---------------------- tm_project_Signals------------------------------------
  25. SIGNAL numsig : STD_LOGIC_VECTOR(data_output - 1 DOWNTO 0) := (OTHERS => '0');
  26. SIGNAL signum : STD_LOGIC_VECTOR(data_output - 1 DOWNTO 0) := (OTHERS => '0');
  27.  
  28.  
  29.  
  30.  
  31. END PACKAGE ITCE211Project_library;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement