Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- LIBRARY IEEE;
- USE IEEE.STD_LOGIC_1164.ALL;
- USE IEEE.NUMERIC_STD.ALL;
- PACKAGE ITCE211Project_library IS
- ----------------------Constant------------------------------------
- CONSTANT number_of_PE : INTEGER := 4 ;
- CONSTANT data_width : INTEGER := 4;
- CONSTANT data_output : INTEGER := number_of_PE *data_width;
- ------------------------Custom types ------------------------------------
- TYPE vector_array IS ARRAY (NATURAL RANGE <>) OF STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
- type my_customtype is array(0 to number_of_pe - 1) of std_logic_vector(data_width - 1 downto 0);
- ----------------------pe Signals------------------------------------
- SIGNAL stored : STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0) := (OTHERS => '0');
- ----------------------Pe Array_Signals------------------------------
- SIGNAL enablesig : std_logic_vector(number_of_pe - 1 DOWNTO 0) := (OTHERS => '0');
- ---------------------- tm_project_Signals------------------------------------
- SIGNAL numsig : STD_LOGIC_VECTOR(data_output - 1 DOWNTO 0) := (OTHERS => '0');
- SIGNAL signum : STD_LOGIC_VECTOR(data_output - 1 DOWNTO 0) := (OTHERS => '0');
- END PACKAGE ITCE211Project_library;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement