Advertisement
Guest User

Untitled

a guest
Jul 23rd, 2018
95
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.79 KB | None | 0 0
  1. --mux 4x1 em VHDL
  2. -- entradas A0,A1,A2 e A3
  3. -- saída s
  4. -- entradas de selação Sel0 e sel1
  5. --
  6. -- selo sel 1 s
  7. -- ---------------
  8. -- 0 0 A0
  9. -- 0 1 A1
  10. -- 1 0 A2
  11. -- 1 1 A3
  12. --Autor: Marcos Meira/ vitor
  13. --data : 31 de julho de 2017
  14.  
  15. Library IEEE;
  16. use IEEE.std_logic_1164.all;
  17.  
  18.  
  19. entity mux_4_para_1 is
  20. port (
  21. sel : in std_logic_vector (1 downto 0);
  22. A : in std_logic_vector (3 downto 0);
  23. s : out std_logic
  24.  
  25. );
  26. end mux_4_para_1;
  27.  
  28. architecture gota_serena of mux_4_para_1 is
  29. begin
  30.  
  31. with sel select
  32.  
  33. s <= A(0) when "00",
  34. A(1) when "01",
  35. A(2) when "10",
  36. A(3) when "11",
  37. '0' when others;
  38. end gota_serena;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement