Guest User

Untitled

a guest
May 19th, 2018
156
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module top (
  2.     input clk,
  3.     output [6:0] sevenseg
  4.     );
  5.  
  6.     wire [3:0] count;
  7.  
  8.     Counter4bit counter(clk,count);
  9.     SevenSegDecoder decoder(count,seveseg);
  10. end module
Add Comment
Please, Sign In to add comment