Advertisement
Guest User

Untitled

a guest
Jun 27th, 2019
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.55 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_arith.all;
  4. use ieee.std_logic_unsigned.all;
  5.  
  6. entity multiplier is
  7. port(
  8. clk : in std_logic;
  9. a : in std_logic_vector(15 downto 0);
  10. b : in std_logic_vector(15 downto 0);
  11. p : out std_logic_vector(31 downto 0)
  12. -- ready : out std_logic;
  13. );
  14. end multiplier;
  15.  
  16. architecture IMP of multiplier is
  17.  
  18. begin
  19. process (clk)
  20. begin
  21. if clk'event and clk = '1' then
  22. p <= a * b;
  23. -- ready <= '1';
  24. -- else
  25. -- ready <= '0';
  26. end if;
  27. end process;
  28. end IMP;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement