Advertisement
Guest User

Untitled

a guest
Sep 16th, 2019
132
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module main(clk);
  2.     input clk;
  3.     integer i;
  4.     integer j;
  5.  
  6.     integer dArray[9:0][9:0];
  7.     initial begin
  8.         i = 0;
  9.         j=0;
  10.        
  11.         for (i = 0; i< 10; i= i+1) begin
  12.             for (j = 0; j<10; j=j+1) begin
  13.                 dArray[i][j] = i*j;
  14.             end
  15.         end
  16.        
  17.         for (i = 0; i< 10; i= i+1) begin
  18.             for (j = 0; j<10; j=j+1) begin
  19.                 $write("%d", dArray[i][j]);
  20.             end
  21.             $write("\n");
  22.         end
  23.     end
  24.    
  25.     always @(posedge clk) begin
  26.     end    
  27.        
  28.  
  29. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement