Advertisement
Guest User

Untitled

a guest
Aug 17th, 2017
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.47 KB | None | 0 0
  1. set PERIOD_CLK 1 # 1 nSec is period of that clock
  2.  
  3. set INPUT_DELAY_MARGIN 0.60
  4. set OUTPUT_DELAY_MARGIN 0.40
  5.  
  6. set CLK_IN_DELAY [expr { $PERIOD_CLK * $INPUT_DELAY_MARGIN }]
  7. set PCLK_OUT_DELAY [expr { $PERID_PCLK * $OUTPUT_DELAY_MARGIN }]
  8.  
  9. # input delay is given to din_i pin/port
  10. set_input_delay $CLK_IN_DELAY -clock $CLK [get_ports din_i]
  11.  
  12. # output delay is given to dout_o pin/port
  13. set_output_delay $CLK_OUT_DELAY -clock $CLK [get_ports dout_o]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement