Advertisement
Guest User

Untitled

a guest
Jun 26th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2. module rategen(
  3.     input  clk,rst,
  4.     output ce
  5.     );
  6.     //Generate 1 clock wide pulse on output CY
  7. reg [25:0] Q;
  8.  
  9. always @(posedge clk)
  10. begin
  11.    if (rst | ce)
  12.       Q <= 0;
  13.    else
  14.       Q <= Q + 1;
  15. end
  16.  
  17. assign ce = (Q == 49999999); //ELVILEG Másodpercre jó:
  18. //assign ce = (Q == 2); //tesztre
  19.  
  20. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement