Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- /* Automatically generated by nMigen 0.3.dev153+gb86acdc. Do not edit. */
- /* Generated by Yosys 0.9+2406 (git sha1 aafaeb66, clang 10.0.0 -fPIC -Os) */
- (* \nmigen.hierarchy = "top.top.blink" *)
- (* generator = "nMigen" *)
- module blink(pixel_rst, pixel_clk, o_led);
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:15" *)
- wire [28:0] \$1 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:15" *)
- wire [28:0] \$2 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:14" *)
- reg [27:0] R_counter = 28'h0000000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:14" *)
- reg [27:0] \R_counter$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:7" *)
- output [7:0] o_led;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- assign \$2 = R_counter + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:15" *) 1'h1;
- always @(posedge pixel_clk)
- R_counter <= \R_counter$next ;
- always @* begin
- \R_counter$next = \$1 [27:0];
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_counter$next = 28'h0000000;
- endcase
- end
- assign \$1 = \$2 ;
- assign o_led = R_counter[27:20];
- endmodule
- (* \nmigen.hierarchy = "top.top.ecp5pll" *)
- (* generator = "nMigen" *)
- module ecp5pll(clk25_0__io, pixel_clk, shift_clk, clk);
- (* src = "top_vgatest.py:100" *)
- output clk;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input clk25_0__io;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/ecp5pll.py:26" *)
- wire locked;
- (* src = "top_vgatest.py:101" *)
- output pixel_clk;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/ecp5pll.py:25" *)
- wire reset;
- (* src = "top_vgatest.py:102" *)
- output shift_clk;
- (* FREQUENCY_PIN_CLKI = "25.0" *)
- (* ICP_CURRENT = "6" *)
- (* LPF_RESISTOR = "16" *)
- (* MFG_ENABLE_FILTEROPAMP = "1" *)
- (* MFG_GMCREF_SEL = "2" *)
- EHXPLLL #(
- .CLKFB_DIV(32'd113),
- .CLKI_DIV(32'd4),
- .CLKOP_CPHASE(32'd28),
- .CLKOP_DIV(32'd28),
- .CLKOP_ENABLE("ENABLED"),
- .CLKOP_FPHASE(32'd0),
- .CLKOS2_CPHASE(32'd2),
- .CLKOS2_DIV(32'd2),
- .CLKOS2_ENABLE("ENABLED"),
- .CLKOS2_FPHASE(32'd0),
- .CLKOS3_DIV(32'd1),
- .CLKOS3_ENABLE("ENABLED"),
- .CLKOS_CPHASE(32'd10),
- .CLKOS_DIV(32'd10),
- .CLKOS_ENABLE("ENABLED"),
- .CLKOS_FPHASE(32'd0),
- .FEEDBK_PATH("INT_OS3")
- ) \U$$0 (
- .CLKI(clk25_0__io),
- .CLKOP(clk),
- .CLKOS(pixel_clk),
- .CLKOS2(shift_clk),
- .LOCK(locked),
- .RST(reset)
- );
- assign reset = 1'h0;
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_down_0" *)
- (* generator = "nMigen" *)
- module pin_button_down_0(button_down_0__io, button_down_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_down_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_down_0__io;
- IB button_down_0_0 (
- .I(button_down_0__io),
- .O(button_down_0__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_fire_0" *)
- (* generator = "nMigen" *)
- module pin_button_fire_0(button_fire_0__io, button_fire_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_fire_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_fire_0__io;
- IB button_fire_0_0 (
- .I(button_fire_0__io),
- .O(button_fire_0__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_fire_1" *)
- (* generator = "nMigen" *)
- module pin_button_fire_1(button_fire_1__io, button_fire_1__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_fire_1__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_fire_1__io;
- IB button_fire_1_0 (
- .I(button_fire_1__io),
- .O(button_fire_1__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_left_0" *)
- (* generator = "nMigen" *)
- module pin_button_left_0(button_left_0__io, button_left_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_left_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_left_0__io;
- IB button_left_0_0 (
- .I(button_left_0__io),
- .O(button_left_0__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_pwr_0" *)
- (* generator = "nMigen" *)
- module pin_button_pwr_0(button_pwr_0__io, button_pwr_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:447" *)
- wire \$1 ;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_pwr_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:446" *)
- wire button_pwr_0__i_n;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_pwr_0__io;
- assign \$1 = ~ (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:447" *) button_pwr_0__i_n;
- IB button_pwr_0_0 (
- .I(button_pwr_0__io),
- .O(button_pwr_0__i_n)
- );
- assign button_pwr_0__i = \$1 ;
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_right_0" *)
- (* generator = "nMigen" *)
- module pin_button_right_0(button_right_0__io, button_right_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_right_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_right_0__io;
- IB button_right_0_0 (
- .I(button_right_0__io),
- .O(button_right_0__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_button_up_0" *)
- (* generator = "nMigen" *)
- module pin_button_up_0(button_up_0__io, button_up_0__i);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- output button_up_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_up_0__io;
- IB button_up_0_0 (
- .I(button_up_0__io),
- .O(button_up_0__i)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_0" *)
- (* generator = "nMigen" *)
- module pin_led_0(led_0__io, led_0__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_0__o;
- OB led_0_0 (
- .I(led_0__o),
- .O(led_0__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_1" *)
- (* generator = "nMigen" *)
- module pin_led_1(led_1__io, led_1__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_1__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_1__o;
- OB led_1_0 (
- .I(led_1__o),
- .O(led_1__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_2" *)
- (* generator = "nMigen" *)
- module pin_led_2(led_2__io, led_2__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_2__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_2__o;
- OB led_2_0 (
- .I(led_2__o),
- .O(led_2__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_3" *)
- (* generator = "nMigen" *)
- module pin_led_3(led_3__io, led_3__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_3__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_3__o;
- OB led_3_0 (
- .I(led_3__o),
- .O(led_3__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_4" *)
- (* generator = "nMigen" *)
- module pin_led_4(led_4__io, led_4__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_4__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_4__o;
- OB led_4_0 (
- .I(led_4__o),
- .O(led_4__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_5" *)
- (* generator = "nMigen" *)
- module pin_led_5(led_5__io, led_5__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_5__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_5__o;
- OB led_5_0 (
- .I(led_5__o),
- .O(led_5__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_6" *)
- (* generator = "nMigen" *)
- module pin_led_6(led_6__io, led_6__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_6__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_6__o;
- OB led_6_0 (
- .I(led_6__o),
- .O(led_6__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_led_7" *)
- (* generator = "nMigen" *)
- module pin_led_7(led_7__io, led_7__o);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_7__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- input led_7__o;
- OB led_7_0 (
- .I(led_7__o),
- .O(led_7__io)
- );
- endmodule
- (* \nmigen.hierarchy = "top.pin_program_0" *)
- (* generator = "nMigen" *)
- module pin_program_0(program_0__io);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:455" *)
- wire \$1 ;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output program_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire program_0__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:454" *)
- wire program_0__o_n;
- assign \$1 = ~ (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/vendor/lattice_ecp5.py:455" *) program_0__o;
- OB program_0_0 (
- .I(program_0__o_n),
- .O(program_0__io)
- );
- assign program_0__o = 1'h0;
- assign program_0__o_n = \$1 ;
- endmodule
- (* \nmigen.hierarchy = "top" *)
- (* top = 1 *)
- (* generator = "nMigen" *)
- module top(led_1__io, led_2__io, led_3__io, led_4__io, led_5__io, led_6__io, led_7__io, button_pwr_0__io, button_fire_0__io, button_fire_1__io, button_up_0__io, button_down_0__io, button_left_0__io, button_right_0__io, gpdi_0__p, gpdi_1__p, gpdi_2__p, gpdi_3__p, program_0__io, clk25_0__io, led_0__io);
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_down_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_fire_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_fire_1__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_left_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_pwr_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_right_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input button_up_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input clk25_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:139" *)
- output gpdi_0__p;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:139" *)
- output gpdi_1__p;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:139" *)
- output gpdi_2__p;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:139" *)
- output gpdi_3__p;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_0__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_1__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_2__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_3__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_4__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_5__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_6__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output led_7__io;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_down_0_button_down_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_fire_0_button_fire_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_fire_1_button_fire_1__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_left_0_button_left_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_pwr_0_button_pwr_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_right_0_button_right_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_button_up_0_button_up_0__i;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_0_led_0__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_1_led_1__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_2_led_2__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_3_led_3__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_4_led_4__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_5_led_5__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_6_led_6__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:143" *)
- wire pin_led_7_led_7__o;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- output program_0__io;
- (* src = "top_vgatest.py:60" *)
- wire [6:0] top_i_btn;
- (* src = "top_vgatest.py:62" *)
- wire [3:0] top_o_gpdi_dp;
- (* src = "top_vgatest.py:61" *)
- wire [7:0] top_o_led;
- (* src = "top_vgatest.py:63" *)
- wire top_o_user_programn;
- pin_button_down_0 pin_button_down_0 (
- .button_down_0__i(pin_button_down_0_button_down_0__i),
- .button_down_0__io(button_down_0__io)
- );
- pin_button_fire_0 pin_button_fire_0 (
- .button_fire_0__i(pin_button_fire_0_button_fire_0__i),
- .button_fire_0__io(button_fire_0__io)
- );
- pin_button_fire_1 pin_button_fire_1 (
- .button_fire_1__i(pin_button_fire_1_button_fire_1__i),
- .button_fire_1__io(button_fire_1__io)
- );
- pin_button_left_0 pin_button_left_0 (
- .button_left_0__i(pin_button_left_0_button_left_0__i),
- .button_left_0__io(button_left_0__io)
- );
- pin_button_pwr_0 pin_button_pwr_0 (
- .button_pwr_0__i(pin_button_pwr_0_button_pwr_0__i),
- .button_pwr_0__io(button_pwr_0__io)
- );
- pin_button_right_0 pin_button_right_0 (
- .button_right_0__i(pin_button_right_0_button_right_0__i),
- .button_right_0__io(button_right_0__io)
- );
- pin_button_up_0 pin_button_up_0 (
- .button_up_0__i(pin_button_up_0_button_up_0__i),
- .button_up_0__io(button_up_0__io)
- );
- pin_led_0 pin_led_0 (
- .led_0__io(led_0__io),
- .led_0__o(pin_led_0_led_0__o)
- );
- pin_led_1 pin_led_1 (
- .led_1__io(led_1__io),
- .led_1__o(pin_led_1_led_1__o)
- );
- pin_led_2 pin_led_2 (
- .led_2__io(led_2__io),
- .led_2__o(pin_led_2_led_2__o)
- );
- pin_led_3 pin_led_3 (
- .led_3__io(led_3__io),
- .led_3__o(pin_led_3_led_3__o)
- );
- pin_led_4 pin_led_4 (
- .led_4__io(led_4__io),
- .led_4__o(pin_led_4_led_4__o)
- );
- pin_led_5 pin_led_5 (
- .led_5__io(led_5__io),
- .led_5__o(pin_led_5_led_5__o)
- );
- pin_led_6 pin_led_6 (
- .led_6__io(led_6__io),
- .led_6__o(pin_led_6_led_6__o)
- );
- pin_led_7 pin_led_7 (
- .led_7__io(led_7__io),
- .led_7__o(pin_led_7_led_7__o)
- );
- pin_program_0 pin_program_0 (
- .program_0__io(program_0__io)
- );
- \top$1 top (
- .clk25_0__io(clk25_0__io),
- .i_btn(top_i_btn),
- .o_gpdi_dp(top_o_gpdi_dp),
- .o_led(top_o_led),
- .o_user_programn(top_o_user_programn)
- );
- assign gpdi_3__p = top_o_gpdi_dp[3];
- assign gpdi_2__p = top_o_gpdi_dp[2];
- assign gpdi_1__p = top_o_gpdi_dp[1];
- assign gpdi_0__p = top_o_gpdi_dp[0];
- assign top_i_btn[6] = pin_button_right_0_button_right_0__i;
- assign top_i_btn[5] = pin_button_left_0_button_left_0__i;
- assign top_i_btn[4] = pin_button_down_0_button_down_0__i;
- assign top_i_btn[3] = pin_button_up_0_button_up_0__i;
- assign top_i_btn[2] = pin_button_fire_1_button_fire_1__i;
- assign top_i_btn[1] = pin_button_fire_0_button_fire_0__i;
- assign top_i_btn[0] = pin_button_pwr_0_button_pwr_0__i;
- assign pin_led_7_led_7__o = top_o_led[7];
- assign pin_led_6_led_6__o = top_o_led[6];
- assign pin_led_5_led_5__o = top_o_led[5];
- assign pin_led_4_led_4__o = top_o_user_programn;
- assign pin_led_3_led_3__o = top_o_led[3];
- assign pin_led_2_led_2__o = top_o_led[2];
- assign pin_led_1_led_1__o = top_o_led[1];
- assign pin_led_0_led_0__o = top_o_led[0];
- endmodule
- (* \nmigen.hierarchy = "top.top" *)
- (* generator = "nMigen" *)
- module \top$1 (i_btn, o_gpdi_dp, o_user_programn, clk25_0__io, o_led);
- (* src = "top_vgatest.py:97" *)
- wire \$11 ;
- (* src = "top_vgatest.py:97" *)
- wire \$13 ;
- (* src = "top_vgatest.py:95" *)
- wire \$4 ;
- (* src = "top_vgatest.py:96" *)
- wire [20:0] \$6 ;
- (* src = "top_vgatest.py:96" *)
- wire [20:0] \$7 ;
- (* src = "top_vgatest.py:97" *)
- wire \$9 ;
- (* src = "top_vgatest.py:149" *)
- wire [1:0] \$signal ;
- (* src = "top_vgatest.py:149" *)
- wire [1:0] \$signal$1 ;
- (* src = "top_vgatest.py:149" *)
- wire [1:0] \$signal$2 ;
- (* src = "top_vgatest.py:149" *)
- wire [1:0] \$signal$3 ;
- (* src = "top_vgatest.py:94" *)
- reg [19:0] R_delay_reload = 20'h00000;
- (* src = "top_vgatest.py:94" *)
- reg [19:0] \R_delay_reload$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/blink.py:7" *)
- wire [7:0] blink_o_led;
- (* src = "top_vgatest.py:100" *)
- wire clk;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/build/res.py:129" *)
- input clk25_0__io;
- (* src = "top_vgatest.py:166" *)
- wire [7:0] countblink;
- (* src = "top_vgatest.py:101" *)
- wire ecp5pll_pixel_clk;
- (* src = "top_vgatest.py:102" *)
- wire ecp5pll_shift_clk;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:33" *)
- wire [7:0] i_b;
- (* src = "top_vgatest.py:60" *)
- input [6:0] i_btn;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:32" *)
- wire [7:0] i_g;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:31" *)
- wire [7:0] i_r;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:30" *)
- wire i_test_picture;
- (* src = "top_vgatest.py:62" *)
- output [3:0] o_gpdi_dp;
- (* src = "top_vgatest.py:61" *)
- output [7:0] o_led;
- (* src = "top_vgatest.py:63" *)
- output o_user_programn;
- (* src = "top_vgatest.py:64" *)
- wire o_wifi_gpio0;
- (* src = "top_vgatest.py:100" *)
- wire rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:17" *)
- wire vga2dvid_i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:16" *)
- wire [7:0] vga2dvid_i_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:15" *)
- wire [7:0] vga2dvid_i_green;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:18" *)
- wire vga2dvid_i_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:14" *)
- wire [7:0] vga2dvid_i_red;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:19" *)
- wire vga2dvid_i_vsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:27" *)
- wire [1:0] vga2dvid_o_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:28" *)
- wire [1:0] vga2dvid_o_clk;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:26" *)
- wire [1:0] vga2dvid_o_green;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:25" *)
- wire [1:0] vga2dvid_o_red;
- (* src = "top_vgatest.py:117" *)
- wire [7:0] vga_b;
- (* src = "top_vgatest.py:120" *)
- wire vga_blank;
- (* src = "top_vgatest.py:116" *)
- wire [7:0] vga_g;
- (* src = "top_vgatest.py:118" *)
- wire vga_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:29" *)
- wire vga_i_clk_en;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:39" *)
- wire [7:0] vga_o_vga_b;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:43" *)
- wire vga_o_vga_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:38" *)
- wire [7:0] vga_o_vga_g;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:40" *)
- wire vga_o_vga_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:37" *)
- wire [7:0] vga_o_vga_r;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:41" *)
- wire vga_o_vga_vsync;
- (* src = "top_vgatest.py:101" *)
- wire vga_pixel_rst;
- (* src = "top_vgatest.py:115" *)
- wire [7:0] vga_r;
- (* src = "top_vgatest.py:119" *)
- wire vga_vsync;
- assign \$9 = ~ (* src = "top_vgatest.py:97" *) i_btn[0];
- assign \$11 = ~ (* src = "top_vgatest.py:97" *) R_delay_reload[19];
- assign \$13 = \$9 | (* src = "top_vgatest.py:97" *) \$11 ;
- assign \$4 = R_delay_reload[19] == (* src = "top_vgatest.py:95" *) 1'h0;
- assign \$7 = R_delay_reload + (* src = "top_vgatest.py:96" *) 1'h1;
- always @(posedge clk)
- R_delay_reload <= \R_delay_reload$next ;
- blink blink (
- .o_led(blink_o_led),
- .pixel_clk(ecp5pll_pixel_clk),
- .pixel_rst(vga_pixel_rst)
- );
- ODDRX1F ddr0_blue (
- .D0(\$signal$3 [0]),
- .D1(\$signal$3 [1]),
- .Q(o_gpdi_dp[0]),
- .RST(1'h0),
- .SCLK(ecp5pll_shift_clk)
- );
- ODDRX1F ddr0_clock (
- .D0(\$signal [0]),
- .D1(\$signal [1]),
- .Q(o_gpdi_dp[3]),
- .RST(1'h0),
- .SCLK(ecp5pll_shift_clk)
- );
- ODDRX1F ddr0_green (
- .D0(\$signal$2 [0]),
- .D1(\$signal$2 [1]),
- .Q(o_gpdi_dp[1]),
- .RST(1'h0),
- .SCLK(ecp5pll_shift_clk)
- );
- ODDRX1F ddr0_red (
- .D0(\$signal$1 [0]),
- .D1(\$signal$1 [1]),
- .Q(o_gpdi_dp[2]),
- .RST(1'h0),
- .SCLK(ecp5pll_shift_clk)
- );
- ecp5pll ecp5pll (
- .clk(clk),
- .clk25_0__io(clk25_0__io),
- .pixel_clk(ecp5pll_pixel_clk),
- .shift_clk(ecp5pll_shift_clk)
- );
- vga vga (
- .i_clk_en(vga_i_clk_en),
- .o_vga_b(vga_o_vga_b),
- .o_vga_blank(vga_o_vga_blank),
- .o_vga_g(vga_o_vga_g),
- .o_vga_hsync(vga_o_vga_hsync),
- .o_vga_r(vga_o_vga_r),
- .o_vga_vsync(vga_o_vga_vsync),
- .pixel_clk(ecp5pll_pixel_clk),
- .pixel_rst(vga_pixel_rst)
- );
- vga2dvid vga2dvid (
- .i_blank(vga2dvid_i_blank),
- .i_blue(vga2dvid_i_blue),
- .i_green(vga2dvid_i_green),
- .i_hsync(vga2dvid_i_hsync),
- .i_red(vga2dvid_i_red),
- .i_vsync(vga2dvid_i_vsync),
- .o_blue(vga2dvid_o_blue),
- .o_clk(vga2dvid_o_clk),
- .o_green(vga2dvid_o_green),
- .o_red(vga2dvid_o_red),
- .pixel_clk(ecp5pll_pixel_clk),
- .pixel_rst(vga_pixel_rst),
- .shift_clk(ecp5pll_shift_clk)
- );
- always @* begin
- \R_delay_reload$next = R_delay_reload;
- (* src = "top_vgatest.py:95" *)
- casez (\$4 )
- /* src = "top_vgatest.py:95" */
- 1'h1:
- \R_delay_reload$next = \$6 [19:0];
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (rst)
- 1'h1:
- \R_delay_reload$next = 20'h00000;
- endcase
- end
- assign \$6 = \$7 ;
- assign rst = 1'h0;
- assign vga_pixel_rst = 1'h0;
- assign o_led[2] = vga_blank;
- assign o_led[1] = vga_hsync;
- assign o_led[0] = vga_vsync;
- assign o_led[7:6] = countblink[7:6];
- assign o_led[5:3] = 3'h0;
- assign countblink = blink_o_led;
- assign \$signal$3 = vga2dvid_o_blue;
- assign \$signal$2 = vga2dvid_o_green;
- assign \$signal$1 = vga2dvid_o_red;
- assign \$signal = vga2dvid_o_clk;
- assign vga2dvid_i_blank = vga_blank;
- assign vga2dvid_i_vsync = vga_vsync;
- assign vga2dvid_i_hsync = vga_hsync;
- assign vga2dvid_i_blue = vga_b;
- assign vga2dvid_i_green = vga_g;
- assign vga2dvid_i_red = vga_r;
- assign vga_blank = vga_o_vga_blank;
- assign vga_vsync = vga_o_vga_vsync;
- assign vga_hsync = vga_o_vga_hsync;
- assign vga_b = vga_o_vga_b;
- assign vga_g = vga_o_vga_g;
- assign vga_r = vga_o_vga_r;
- assign i_b = 8'h00;
- assign i_g = 8'h00;
- assign i_r = 8'h00;
- assign i_test_picture = 1'h1;
- assign vga_i_clk_en = 1'h1;
- assign o_user_programn = \$13 ;
- assign o_wifi_gpio0 = i_btn[0];
- endmodule
- (* \nmigen.hierarchy = "top.top.vga2dvid.u21" *)
- (* generator = "nMigen" *)
- module u21(pixel_clk, i_data, i_c, i_blank, o_encoded, pixel_rst);
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *)
- wire \$1 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$101 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$103 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$105 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$107 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$109 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *)
- wire \$11 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$111 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$113 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$115 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$117 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$119 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *)
- wire [9:0] \$121 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$123 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$125 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$127 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$129 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *)
- wire \$13 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$131 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$133 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$135 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$137 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$139 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$141 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$143 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$144 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$146 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$147 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$149 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$15 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [4:0] \$150 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$152 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$154 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [4:0] \$155 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$157 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$16 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$19 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$20 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$23 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$24 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$27 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$28 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *)
- wire \$3 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$31 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$32 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$35 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$36 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$39 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$40 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$43 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [1:0] \$44 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [2:0] \$46 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [3:0] \$48 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *)
- wire \$5 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [4:0] \$50 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [5:0] \$52 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [6:0] \$54 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [7:0] \$56 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$58 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$60 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$62 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$64 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$66 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$68 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *)
- wire \$7 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$70 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$72 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$74 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$76 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$78 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *)
- wire [8:0] \$80 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *)
- wire [8:0] \$82 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$84 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [4:0] \$85 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [5:0] \$87 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [6:0] \$89 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *)
- wire \$9 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [7:0] \$91 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [8:0] \$93 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [9:0] \$95 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [10:0] \$97 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$99 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:18" *)
- reg [8:0] data_word;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:20" *)
- wire [3:0] data_word_disparity;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:19" *)
- reg [8:0] data_word_inv;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] dc_bias = 4'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] \dc_bias$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- input i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- input [1:0] i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- input [7:0] i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- output [9:0] o_encoded;
- reg [9:0] o_encoded = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- reg [9:0] \o_encoded$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:17" *)
- wire [3:0] ones;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:16" *)
- wire [8:0] xnored;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:15" *)
- wire [8:0] xored;
- assign \$9 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *) xored[4];
- assign \$99 = \$97 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[7];
- assign \$101 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$103 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$105 = \$101 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$103 ;
- assign \$107 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$109 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$111 = \$107 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$109 ;
- assign \$113 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$115 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$117 = \$113 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$115 ;
- assign \$11 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *) xored[5];
- assign \$119 = \$111 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$117 ;
- assign \$121 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *) { 1'h1, data_word[7:0] };
- assign \$123 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$125 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$127 = \$123 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$125 ;
- assign \$129 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$131 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$133 = \$129 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$131 ;
- assign \$135 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$137 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$13 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *) xored[6];
- assign \$139 = \$135 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$137 ;
- assign \$141 = \$133 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$139 ;
- assign \$144 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *) data_word_disparity;
- assign \$147 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *) data_word_disparity;
- assign \$150 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word[8];
- assign \$152 = \$150 - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word_disparity;
- assign \$155 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_inv[8];
- assign \$157 = \$155 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_disparity;
- assign \$16 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) xnored[0];
- assign \$15 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) \$16 ;
- assign \$1 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *) xored[0];
- assign \$20 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) xnored[1];
- assign \$19 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) \$20 ;
- assign \$24 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) xnored[2];
- assign \$23 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) \$24 ;
- assign \$28 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) xnored[3];
- assign \$27 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) \$28 ;
- assign \$32 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) xnored[4];
- assign \$31 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) \$32 ;
- assign \$36 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) xnored[5];
- assign \$35 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) \$36 ;
- assign \$3 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *) xored[1];
- assign \$40 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) xnored[6];
- assign \$39 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) \$40 ;
- assign \$44 = 1'h0 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[0];
- assign \$46 = \$44 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[1];
- assign \$48 = \$46 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[2];
- assign \$50 = \$48 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[3];
- assign \$52 = \$50 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[4];
- assign \$54 = \$52 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[5];
- assign \$56 = \$54 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[6];
- assign \$58 = \$56 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[7];
- assign \$5 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *) xored[2];
- assign \$60 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$62 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$64 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$66 = \$62 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$64 ;
- assign \$68 = \$60 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$66 ;
- assign \$70 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$72 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$74 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$76 = \$72 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$74 ;
- assign \$78 = \$70 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$76 ;
- assign \$7 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *) xored[3];
- assign \$80 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *) xnored;
- assign \$82 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *) xored;
- assign \$85 = 4'hc + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[0];
- assign \$87 = \$85 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[1];
- assign \$89 = \$87 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[2];
- assign \$91 = \$89 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[3];
- assign \$93 = \$91 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[4];
- assign \$95 = \$93 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[5];
- assign \$97 = \$95 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[6];
- always @(posedge pixel_clk)
- dc_bias <= \dc_bias$next ;
- always @(posedge pixel_clk)
- o_encoded <= \o_encoded$next ;
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$68 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word = xnored;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word = xored;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$78 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word_inv = \$80 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word_inv = \$82 ;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:83" *)
- casez (i_c)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:84" */
- 2'h0:
- \o_encoded$next = 10'h354;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:86" */
- 2'h1:
- \o_encoded$next = 10'h0ab;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:88" */
- 2'h2:
- \o_encoded$next = 10'h154;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:90" */
- default:
- \o_encoded$next = 10'h2ab;
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$119 , \$105 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \o_encoded$next = \$121 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \o_encoded$next = { 2'h2, data_word_inv[7:0] };
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \o_encoded$next = { 1'h1, data_word[8], data_word_inv[7:0] };
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \o_encoded$next = { 1'h0, data_word };
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \o_encoded$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- \dc_bias$next = 4'h0;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$141 , \$127 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \dc_bias$next = \$143 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \dc_bias$next = \$146 [3:0];
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \dc_bias$next = \$149 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \dc_bias$next = \$154 [3:0];
- endcase
- endcase
- end
- assign \$43 = \$58 ;
- assign \$84 = \$99 ;
- assign \$143 = \$144 ;
- assign \$146 = \$147 ;
- assign \$149 = \$152 ;
- assign \$154 = \$157 ;
- assign data_word_disparity = \$99 [3:0];
- assign ones = \$58 [3:0];
- assign xnored[8] = 1'h0;
- assign xnored[7] = \$39 ;
- assign xnored[6] = \$35 ;
- assign xnored[5] = \$31 ;
- assign xnored[4] = \$27 ;
- assign xnored[3] = \$23 ;
- assign xnored[2] = \$19 ;
- assign xnored[1] = \$15 ;
- assign xnored[0] = i_data[0];
- assign xored[8] = 1'h1;
- assign xored[7] = \$13 ;
- assign xored[6] = \$11 ;
- assign xored[5] = \$9 ;
- assign xored[4] = \$7 ;
- assign xored[3] = \$5 ;
- assign xored[2] = \$3 ;
- assign xored[1] = \$1 ;
- assign xored[0] = i_data[0];
- endmodule
- (* \nmigen.hierarchy = "top.top.vga2dvid.u22" *)
- (* generator = "nMigen" *)
- module u22(pixel_clk, i_data, i_c, i_blank, o_encoded, pixel_rst);
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *)
- wire \$1 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$101 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$103 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$105 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$107 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$109 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *)
- wire \$11 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$111 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$113 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$115 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$117 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$119 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *)
- wire [9:0] \$121 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$123 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$125 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$127 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$129 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *)
- wire \$13 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$131 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$133 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$135 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$137 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$139 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$141 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$143 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$144 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$146 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$147 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$149 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$15 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [4:0] \$150 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$152 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$154 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [4:0] \$155 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$157 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$16 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$19 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$20 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$23 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$24 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$27 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$28 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *)
- wire \$3 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$31 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$32 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$35 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$36 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$39 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$40 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$43 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [1:0] \$44 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [2:0] \$46 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [3:0] \$48 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *)
- wire \$5 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [4:0] \$50 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [5:0] \$52 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [6:0] \$54 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [7:0] \$56 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$58 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$60 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$62 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$64 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$66 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$68 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *)
- wire \$7 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$70 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$72 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$74 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$76 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$78 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *)
- wire [8:0] \$80 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *)
- wire [8:0] \$82 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$84 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [4:0] \$85 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [5:0] \$87 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [6:0] \$89 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *)
- wire \$9 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [7:0] \$91 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [8:0] \$93 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [9:0] \$95 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [10:0] \$97 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$99 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:18" *)
- reg [8:0] data_word;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:20" *)
- wire [3:0] data_word_disparity;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:19" *)
- reg [8:0] data_word_inv;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] dc_bias = 4'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] \dc_bias$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- input i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- input [1:0] i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- input [7:0] i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- output [9:0] o_encoded;
- reg [9:0] o_encoded = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- reg [9:0] \o_encoded$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:17" *)
- wire [3:0] ones;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:16" *)
- wire [8:0] xnored;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:15" *)
- wire [8:0] xored;
- assign \$9 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *) xored[4];
- assign \$99 = \$97 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[7];
- assign \$101 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$103 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$105 = \$101 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$103 ;
- assign \$107 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$109 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$111 = \$107 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$109 ;
- assign \$113 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$115 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$117 = \$113 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$115 ;
- assign \$11 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *) xored[5];
- assign \$119 = \$111 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$117 ;
- assign \$121 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *) { 1'h1, data_word[7:0] };
- assign \$123 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$125 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$127 = \$123 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$125 ;
- assign \$129 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$131 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$133 = \$129 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$131 ;
- assign \$135 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$137 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$13 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *) xored[6];
- assign \$139 = \$135 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$137 ;
- assign \$141 = \$133 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$139 ;
- assign \$144 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *) data_word_disparity;
- assign \$147 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *) data_word_disparity;
- assign \$150 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word[8];
- assign \$152 = \$150 - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word_disparity;
- assign \$155 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_inv[8];
- assign \$157 = \$155 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_disparity;
- assign \$16 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) xnored[0];
- assign \$15 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) \$16 ;
- assign \$1 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *) xored[0];
- assign \$20 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) xnored[1];
- assign \$19 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) \$20 ;
- assign \$24 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) xnored[2];
- assign \$23 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) \$24 ;
- assign \$28 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) xnored[3];
- assign \$27 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) \$28 ;
- assign \$32 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) xnored[4];
- assign \$31 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) \$32 ;
- assign \$36 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) xnored[5];
- assign \$35 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) \$36 ;
- assign \$3 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *) xored[1];
- assign \$40 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) xnored[6];
- assign \$39 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) \$40 ;
- assign \$44 = 1'h0 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[0];
- assign \$46 = \$44 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[1];
- assign \$48 = \$46 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[2];
- assign \$50 = \$48 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[3];
- assign \$52 = \$50 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[4];
- assign \$54 = \$52 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[5];
- assign \$56 = \$54 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[6];
- assign \$58 = \$56 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[7];
- assign \$5 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *) xored[2];
- assign \$60 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$62 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$64 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$66 = \$62 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$64 ;
- assign \$68 = \$60 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$66 ;
- assign \$70 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$72 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$74 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$76 = \$72 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$74 ;
- assign \$78 = \$70 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$76 ;
- assign \$7 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *) xored[3];
- assign \$80 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *) xnored;
- assign \$82 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *) xored;
- assign \$85 = 4'hc + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[0];
- assign \$87 = \$85 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[1];
- assign \$89 = \$87 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[2];
- assign \$91 = \$89 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[3];
- assign \$93 = \$91 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[4];
- assign \$95 = \$93 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[5];
- assign \$97 = \$95 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[6];
- always @(posedge pixel_clk)
- dc_bias <= \dc_bias$next ;
- always @(posedge pixel_clk)
- o_encoded <= \o_encoded$next ;
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$68 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word = xnored;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word = xored;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$78 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word_inv = \$80 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word_inv = \$82 ;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:83" *)
- casez (i_c)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:84" */
- 2'h0:
- \o_encoded$next = 10'h354;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:86" */
- 2'h1:
- \o_encoded$next = 10'h0ab;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:88" */
- 2'h2:
- \o_encoded$next = 10'h154;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:90" */
- default:
- \o_encoded$next = 10'h2ab;
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$119 , \$105 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \o_encoded$next = \$121 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \o_encoded$next = { 2'h2, data_word_inv[7:0] };
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \o_encoded$next = { 1'h1, data_word[8], data_word_inv[7:0] };
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \o_encoded$next = { 1'h0, data_word };
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \o_encoded$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- \dc_bias$next = 4'h0;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$141 , \$127 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \dc_bias$next = \$143 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \dc_bias$next = \$146 [3:0];
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \dc_bias$next = \$149 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \dc_bias$next = \$154 [3:0];
- endcase
- endcase
- end
- assign \$43 = \$58 ;
- assign \$84 = \$99 ;
- assign \$143 = \$144 ;
- assign \$146 = \$147 ;
- assign \$149 = \$152 ;
- assign \$154 = \$157 ;
- assign data_word_disparity = \$99 [3:0];
- assign ones = \$58 [3:0];
- assign xnored[8] = 1'h0;
- assign xnored[7] = \$39 ;
- assign xnored[6] = \$35 ;
- assign xnored[5] = \$31 ;
- assign xnored[4] = \$27 ;
- assign xnored[3] = \$23 ;
- assign xnored[2] = \$19 ;
- assign xnored[1] = \$15 ;
- assign xnored[0] = i_data[0];
- assign xored[8] = 1'h1;
- assign xored[7] = \$13 ;
- assign xored[6] = \$11 ;
- assign xored[5] = \$9 ;
- assign xored[4] = \$7 ;
- assign xored[3] = \$5 ;
- assign xored[2] = \$3 ;
- assign xored[1] = \$1 ;
- assign xored[0] = i_data[0];
- endmodule
- (* \nmigen.hierarchy = "top.top.vga2dvid.u23" *)
- (* generator = "nMigen" *)
- module u23(pixel_clk, i_data, i_c, i_blank, o_encoded, pixel_rst);
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *)
- wire \$1 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$101 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$103 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$105 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$107 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$109 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *)
- wire \$11 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$111 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$113 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$115 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$117 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$119 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *)
- wire [9:0] \$121 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$123 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$125 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- wire \$127 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$129 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *)
- wire \$13 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$131 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$133 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$135 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$137 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *)
- wire \$139 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *)
- wire \$141 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$143 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *)
- wire [4:0] \$144 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$146 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *)
- wire [4:0] \$147 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$149 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$15 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [4:0] \$150 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *)
- wire [5:0] \$152 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$154 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [4:0] \$155 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *)
- wire [5:0] \$157 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *)
- wire \$16 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$19 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *)
- wire \$20 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$23 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *)
- wire \$24 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$27 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *)
- wire \$28 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *)
- wire \$3 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$31 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *)
- wire \$32 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$35 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *)
- wire \$36 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$39 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *)
- wire \$40 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$43 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [1:0] \$44 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [2:0] \$46 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [3:0] \$48 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *)
- wire \$5 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [4:0] \$50 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [5:0] \$52 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [6:0] \$54 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [7:0] \$56 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *)
- wire [8:0] \$58 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$60 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$62 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$64 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$66 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$68 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *)
- wire \$7 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$70 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$72 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$74 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$76 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- wire \$78 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *)
- wire [8:0] \$80 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *)
- wire [8:0] \$82 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$84 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [4:0] \$85 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [5:0] \$87 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [6:0] \$89 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *)
- wire \$9 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [7:0] \$91 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [8:0] \$93 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [9:0] \$95 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [10:0] \$97 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *)
- wire [11:0] \$99 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:18" *)
- reg [8:0] data_word;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:20" *)
- wire [3:0] data_word_disparity;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:19" *)
- reg [8:0] data_word_inv;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] dc_bias = 4'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:21" *)
- reg [3:0] \dc_bias$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- input i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- input [1:0] i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- input [7:0] i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- output [9:0] o_encoded;
- reg [9:0] o_encoded = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- reg [9:0] \o_encoded$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:17" *)
- wire [3:0] ones;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:16" *)
- wire [8:0] xnored;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:15" *)
- wire [8:0] xored;
- assign \$9 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:29" *) xored[4];
- assign \$99 = \$97 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[7];
- assign \$101 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$103 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$105 = \$101 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$103 ;
- assign \$107 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$109 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$111 = \$107 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$109 ;
- assign \$113 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$115 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$117 = \$113 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$115 ;
- assign \$11 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:30" *) xored[5];
- assign \$119 = \$111 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$117 ;
- assign \$121 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:97" *) { 1'h1, data_word[7:0] };
- assign \$123 = dc_bias == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$125 = data_word_disparity == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) 1'h0;
- assign \$127 = \$123 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *) \$125 ;
- assign \$129 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$131 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) 1'h0;
- assign \$133 = \$129 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$131 ;
- assign \$135 = dc_bias[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$137 = data_word_disparity[3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) 1'h1;
- assign \$13 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:31" *) xored[6];
- assign \$139 = \$135 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:103" *) \$137 ;
- assign \$141 = \$133 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" *) \$139 ;
- assign \$144 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:98" *) data_word_disparity;
- assign \$147 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:101" *) data_word_disparity;
- assign \$150 = dc_bias + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word[8];
- assign \$152 = \$150 - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:105" *) data_word_disparity;
- assign \$155 = dc_bias - (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_inv[8];
- assign \$157 = \$155 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:108" *) data_word_disparity;
- assign \$16 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) xnored[0];
- assign \$15 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:37" *) \$16 ;
- assign \$1 = i_data[1] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:25" *) xored[0];
- assign \$20 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) xnored[1];
- assign \$19 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:38" *) \$20 ;
- assign \$24 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) xnored[2];
- assign \$23 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:39" *) \$24 ;
- assign \$28 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) xnored[3];
- assign \$27 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:40" *) \$28 ;
- assign \$32 = i_data[5] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) xnored[4];
- assign \$31 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:41" *) \$32 ;
- assign \$36 = i_data[6] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) xnored[5];
- assign \$35 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:42" *) \$36 ;
- assign \$3 = i_data[2] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:26" *) xored[1];
- assign \$40 = i_data[7] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) xnored[6];
- assign \$39 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:43" *) \$40 ;
- assign \$44 = 1'h0 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[0];
- assign \$46 = \$44 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[1];
- assign \$48 = \$46 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[2];
- assign \$50 = \$48 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[3];
- assign \$52 = \$50 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[4];
- assign \$54 = \$52 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[5];
- assign \$56 = \$54 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[6];
- assign \$58 = \$56 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:49" *) i_data[7];
- assign \$5 = i_data[3] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:27" *) xored[2];
- assign \$60 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$62 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$64 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$66 = \$62 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$64 ;
- assign \$68 = \$60 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$66 ;
- assign \$70 = ones > (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$72 = ones == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 3'h4;
- assign \$74 = i_data[0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) 1'h0;
- assign \$76 = \$72 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$74 ;
- assign \$78 = \$70 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *) \$76 ;
- assign \$7 = i_data[4] ^ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:28" *) xored[3];
- assign \$80 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:63" *) xnored;
- assign \$82 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:66" *) xored;
- assign \$85 = 4'hc + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[0];
- assign \$87 = \$85 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[1];
- assign \$89 = \$87 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[2];
- assign \$91 = \$89 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[3];
- assign \$93 = \$91 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[4];
- assign \$95 = \$93 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[5];
- assign \$97 = \$95 + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:70" *) data_word[6];
- always @(posedge pixel_clk)
- dc_bias <= \dc_bias$next ;
- always @(posedge pixel_clk)
- o_encoded <= \o_encoded$next ;
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$68 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word = xnored;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word = xored;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" *)
- casez (\$78 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:61" */
- 1'h1:
- data_word_inv = \$80 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:64" */
- default:
- data_word_inv = \$82 ;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:83" *)
- casez (i_c)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:84" */
- 2'h0:
- \o_encoded$next = 10'h354;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:86" */
- 2'h1:
- \o_encoded$next = 10'h0ab;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:88" */
- 2'h2:
- \o_encoded$next = 10'h154;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:90" */
- default:
- \o_encoded$next = 10'h2ab;
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$119 , \$105 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \o_encoded$next = \$121 ;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \o_encoded$next = { 2'h2, data_word_inv[7:0] };
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \o_encoded$next = { 1'h1, data_word[8], data_word_inv[7:0] };
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \o_encoded$next = { 1'h0, data_word };
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \o_encoded$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" *)
- casez (i_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:82" */
- 1'h1:
- \dc_bias$next = 4'h0;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:93" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" *)
- casez ({ \$141 , \$127 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:94" */
- 2'b?1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" *)
- casez (data_word[8])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:96" */
- 1'h1:
- \dc_bias$next = \$143 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:99" */
- default:
- \dc_bias$next = \$146 [3:0];
- endcase
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:102" */
- 2'b1?:
- \dc_bias$next = \$149 [3:0];
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:106" */
- default:
- \dc_bias$next = \$154 [3:0];
- endcase
- endcase
- end
- assign \$43 = \$58 ;
- assign \$84 = \$99 ;
- assign \$143 = \$144 ;
- assign \$146 = \$147 ;
- assign \$149 = \$152 ;
- assign \$154 = \$157 ;
- assign data_word_disparity = \$99 [3:0];
- assign ones = \$58 [3:0];
- assign xnored[8] = 1'h0;
- assign xnored[7] = \$39 ;
- assign xnored[6] = \$35 ;
- assign xnored[5] = \$31 ;
- assign xnored[4] = \$27 ;
- assign xnored[3] = \$23 ;
- assign xnored[2] = \$19 ;
- assign xnored[1] = \$15 ;
- assign xnored[0] = i_data[0];
- assign xored[8] = 1'h1;
- assign xored[7] = \$13 ;
- assign xored[6] = \$11 ;
- assign xored[5] = \$9 ;
- assign xored[4] = \$7 ;
- assign xored[3] = \$5 ;
- assign xored[2] = \$3 ;
- assign xored[1] = \$1 ;
- assign xored[0] = i_data[0];
- endmodule
- (* \nmigen.hierarchy = "top.top.vga" *)
- (* generator = "nMigen" *)
- module vga(o_vga_r, o_vga_g, o_vga_b, o_vga_hsync, o_vga_vsync, o_vga_blank, pixel_rst, pixel_clk, i_clk_en);
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *)
- wire \$1 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:104" *)
- wire [16:0] \$10 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:104" *)
- wire [16:0] \$11 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *)
- wire \$13 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" *)
- wire \$15 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *)
- wire \$17 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" *)
- wire \$19 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:129" *)
- wire \$21 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:131" *)
- wire \$23 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *)
- wire \$25 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" *)
- wire \$27 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *)
- wire \$29 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:106" *)
- wire [16:0] \$3 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" *)
- wire \$31 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:144" *)
- wire \$33 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:146" *)
- wire \$35 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:152" *)
- wire [7:0] \$37 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *)
- wire \$38 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:106" *)
- wire [16:0] \$4 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *)
- wire \$40 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *)
- wire \$42 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:155" *)
- wire [7:0] \$45 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:156" *)
- wire \$46 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:158" *)
- wire [7:0] \$49 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:158" *)
- wire [7:0] \$50 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:159" *)
- wire [1:0] \$51 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:159" *)
- wire \$53 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *)
- wire [5:0] \$56 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *)
- wire [7:0] \$58 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *)
- wire \$6 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *)
- wire [7:0] \$60 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *)
- wire [7:0] \$62 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *)
- wire [7:0] \$64 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *)
- wire [7:0] \$66 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *)
- wire [7:0] \$68 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *)
- wire [7:0] \$70 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:174" *)
- wire [7:0] \$72 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:174" *)
- wire [7:0] \$74 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:101" *)
- wire \$8 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:93" *)
- wire [7:0] A;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:77" *)
- reg [15:0] CounterX = 16'h0000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:77" *)
- reg [15:0] \CounterX$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:78" *)
- reg [15:0] CounterY = 16'h0000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:78" *)
- reg [15:0] \CounterY$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:81" *)
- reg R_blank = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:81" *)
- reg \R_blank$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:85" *)
- reg R_blank_early = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:85" *)
- reg \R_blank_early$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:82" *)
- reg R_disp = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:82" *)
- reg \R_disp$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:83" *)
- reg R_disp_early = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:83" *)
- reg \R_disp_early$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:87" *)
- reg R_fetch_next = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:87" *)
- reg \R_fetch_next$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:79" *)
- reg R_hsync = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:79" *)
- reg \R_hsync$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:86" *)
- reg R_vblank = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:86" *)
- reg \R_vblank$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:84" *)
- reg R_vdisp = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:84" *)
- reg \R_vdisp$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:90" *)
- reg [7:0] R_vga_b = 8'h00;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:90" *)
- reg [7:0] \R_vga_b$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:89" *)
- reg [7:0] R_vga_g = 8'h00;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:89" *)
- reg [7:0] \R_vga_g$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:88" *)
- reg [7:0] R_vga_r = 8'h00;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:88" *)
- reg [7:0] \R_vga_r$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:80" *)
- reg R_vsync = 1'h0;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:80" *)
- reg \R_vsync$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:94" *)
- wire [7:0] T;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:92" *)
- wire [7:0] W;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:95" *)
- wire [5:0] Z;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:29" *)
- input i_clk_en;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:35" *)
- wire [15:0] o_beam_x;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:36" *)
- wire [15:0] o_beam_y;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:34" *)
- wire o_fetch_next;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:39" *)
- output [7:0] o_vga_b;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:43" *)
- output o_vga_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:44" *)
- wire o_vga_de;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:38" *)
- output [7:0] o_vga_g;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:40" *)
- output o_vga_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:37" *)
- output [7:0] o_vga_r;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:41" *)
- output o_vga_vsync;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- assign \$11 = CounterY + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:104" *) 1'h1;
- assign \$13 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *) 16'h04ff;
- assign \$15 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" *) 16'h059f;
- assign \$17 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *) 16'h04ff;
- assign \$1 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *) 16'h059f;
- assign \$19 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" *) 16'h059f;
- assign \$21 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:129" *) 16'h052f;
- assign \$23 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:131" *) 16'h054f;
- assign \$25 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *) 16'h031f;
- assign \$27 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" *) 16'h0336;
- assign \$29 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *) 16'h031f;
- assign \$31 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" *) 16'h0336;
- assign \$33 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:144" *) 16'h0322;
- assign \$35 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:146" *) 16'h0328;
- assign \$38 = CounterX[7:5] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *) 2'h2;
- assign \$40 = CounterY[7:5] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *) 2'h2;
- assign \$42 = \$38 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:153" *) \$40 ;
- assign \$37 = \$42 ? (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:152" *) 8'hff : 8'h00;
- assign \$46 = CounterX[7:0] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:156" *) CounterY[7:0];
- assign \$45 = \$46 ? (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:155" *) 8'hff : 8'h00;
- assign \$4 = CounterX + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:106" *) 1'h1;
- assign \$51 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:159" *) CounterX[4:3];
- assign \$53 = CounterY[4:3] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:159" *) \$51 ;
- assign \$50 = \$53 ? (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:158" *) 8'hff : 8'h00;
- assign \$56 = CounterX[5:0] & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *) Z;
- assign \$58 = { \$56 , 1'h0 } | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *) W;
- assign \$60 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *) A;
- assign \$62 = \$58 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:172" *) \$60 ;
- assign \$64 = CounterX[7:0] & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *) T;
- assign \$66 = \$64 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *) W;
- assign \$68 = ~ (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *) A;
- assign \$6 = CounterX == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *) 16'h059f;
- assign \$70 = \$66 & (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:173" *) \$68 ;
- assign \$72 = CounterY[7:0] | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:174" *) W;
- assign \$74 = \$72 | (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:174" *) A;
- assign \$8 = CounterY == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:101" *) 16'h0336;
- always @(posedge pixel_clk)
- R_disp <= \R_disp$next ;
- always @(posedge pixel_clk)
- R_blank <= \R_blank$next ;
- always @(posedge pixel_clk)
- R_vga_b <= \R_vga_b$next ;
- always @(posedge pixel_clk)
- R_vga_g <= \R_vga_g$next ;
- always @(posedge pixel_clk)
- R_vga_r <= \R_vga_r$next ;
- always @(posedge pixel_clk)
- R_vsync <= \R_vsync$next ;
- always @(posedge pixel_clk)
- R_vdisp <= \R_vdisp$next ;
- always @(posedge pixel_clk)
- R_vblank <= \R_vblank$next ;
- always @(posedge pixel_clk)
- R_hsync <= \R_hsync$next ;
- always @(posedge pixel_clk)
- R_disp_early <= \R_disp_early$next ;
- always @(posedge pixel_clk)
- R_blank_early <= \R_blank_early$next ;
- always @(posedge pixel_clk)
- R_fetch_next <= \R_fetch_next$next ;
- always @(posedge pixel_clk)
- CounterY <= \CounterY$next ;
- always @(posedge pixel_clk)
- CounterX <= \CounterX$next ;
- always @* begin
- \CounterX$next = CounterX;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" *)
- casez (i_clk_en)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *)
- casez (\$1 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" */
- 1'h1:
- \CounterX$next = 16'h0000;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:105" */
- default:
- \CounterX$next = \$3 [15:0];
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \CounterX$next = 16'h0000;
- endcase
- end
- always @* begin
- \CounterY$next = CounterY;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" *)
- casez (i_clk_en)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" *)
- casez (\$6 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:98" */
- 1'h1:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:101" *)
- casez (\$8 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:101" */
- 1'h1:
- \CounterY$next = 16'h0000;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:103" */
- default:
- \CounterY$next = \$10 [15:0];
- endcase
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \CounterY$next = 16'h0000;
- endcase
- end
- always @* begin
- \R_vdisp$next = R_vdisp;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *)
- casez ({ \$31 , \$29 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" */
- 2'b?1:
- \R_vdisp$next = 1'h0;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" */
- 2'b1?:
- \R_vdisp$next = 1'h1;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vdisp$next = 1'h0;
- endcase
- end
- always @* begin
- \R_vsync$next = R_vsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:144" *)
- casez ({ \$35 , \$33 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:144" */
- 2'b?1:
- \R_vsync$next = 1'h1;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:146" */
- 2'b1?:
- \R_vsync$next = 1'h0;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vsync$next = 1'h0;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" *)
- casez (R_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" */
- 1'h1:
- \R_vga_r$next = 8'h00;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:170" */
- default:
- \R_vga_r$next = \$62 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vga_r$next = 8'h00;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" *)
- casez (R_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" */
- 1'h1:
- \R_vga_g$next = 8'h00;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:170" */
- default:
- \R_vga_g$next = \$70 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vga_g$next = 8'h00;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" *)
- casez (R_blank)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:164" */
- 1'h1:
- \R_vga_b$next = 8'h00;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:170" */
- default:
- \R_vga_b$next = \$74 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vga_b$next = 8'h00;
- endcase
- end
- always @* begin
- \R_blank$next = R_blank_early;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_blank$next = 1'h0;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" *)
- casez (i_clk_en)
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:97" */
- 1'h1:
- \R_fetch_next$next = R_disp_early;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:109" */
- default:
- \R_fetch_next$next = 1'h0;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_fetch_next$next = 1'h0;
- endcase
- end
- always @* begin
- \R_disp$next = R_disp_early;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_disp$next = 1'h0;
- endcase
- end
- always @* begin
- \R_blank_early$next = R_blank_early;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *)
- casez ({ \$15 , \$13 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" */
- 2'b?1:
- \R_blank_early$next = 1'h1;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" */
- 2'b1?:
- \R_blank_early$next = R_vblank;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_blank_early$next = 1'h0;
- endcase
- end
- always @* begin
- \R_disp_early$next = R_disp_early;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" *)
- casez ({ \$19 , \$17 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:119" */
- 2'b?1:
- \R_disp_early$next = 1'h0;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:124" */
- 2'b1?:
- \R_disp_early$next = R_vdisp;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_disp_early$next = 1'h0;
- endcase
- end
- always @* begin
- \R_hsync$next = R_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:129" *)
- casez ({ \$23 , \$21 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:129" */
- 2'b?1:
- \R_hsync$next = 1'h1;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:131" */
- 2'b1?:
- \R_hsync$next = 1'h0;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_hsync$next = 1'h0;
- endcase
- end
- always @* begin
- \R_vblank$next = R_vblank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" *)
- casez ({ \$27 , \$25 })
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:134" */
- 2'b?1:
- \R_vblank$next = 1'h1;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga.py:139" */
- 2'b1?:
- \R_vblank$next = 1'h0;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \R_vblank$next = 1'h0;
- endcase
- end
- assign \$3 = \$4 ;
- assign \$10 = \$11 ;
- assign \$49 = \$50 ;
- assign o_vga_de = R_disp;
- assign o_vga_blank = R_blank;
- assign o_vga_vsync = R_vsync;
- assign o_vga_hsync = R_hsync;
- assign o_vga_b = R_vga_b;
- assign o_vga_g = R_vga_g;
- assign o_vga_r = R_vga_r;
- assign T = { CounterY[6], CounterY[6], CounterY[6], CounterY[6], CounterY[6], CounterY[6], CounterY[6], CounterY[6] };
- assign Z = \$50 [5:0];
- assign W = \$45 ;
- assign A = \$37 ;
- assign o_fetch_next = R_fetch_next;
- assign o_beam_y = CounterY;
- assign o_beam_x = CounterX;
- endmodule
- (* \nmigen.hierarchy = "top.top.vga2dvid" *)
- (* generator = "nMigen" *)
- module vga2dvid(i_green, i_blue, i_hsync, i_vsync, i_blank, o_clk, o_red, o_green, o_blue, pixel_rst, pixel_clk, shift_clk, i_red);
- wire [4:0] \$1 ;
- wire [4:0] \$11 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- wire \$12 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:165" *)
- wire [9:0] \$14 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *)
- wire \$16 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *)
- wire \$18 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- wire \$2 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:177" *)
- wire [7:0] \$20 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:177" *)
- wire [7:0] \$21 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:163" *)
- wire [9:0] \$4 ;
- wire [4:0] \$6 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- wire \$7 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:164" *)
- wire [9:0] \$9 ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:59" *)
- wire [7:0] R_shift_clock_synchronizer;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:60" *)
- reg [6:0] R_sync_fail = 7'h00;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:60" *)
- reg [6:0] \R_sync_fail$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:65" *)
- wire [7:0] blue_d;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:61" *)
- wire [1:0] c_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:47" *)
- wire [9:0] encoded_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:46" *)
- wire [9:0] encoded_green;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:45" *)
- wire [9:0] encoded_red;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:64" *)
- wire [7:0] green_d;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:17" *)
- input i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:16" *)
- input [7:0] i_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:15" *)
- input [7:0] i_green;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:18" *)
- input i_hsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:14" *)
- input [7:0] i_red;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:19" *)
- input i_vsync;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:51" *)
- reg [9:0] latched_blue = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:51" *)
- reg [9:0] \latched_blue$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:50" *)
- reg [9:0] latched_green = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:50" *)
- reg [9:0] \latched_green$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:49" *)
- reg [9:0] latched_red = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:49" *)
- reg [9:0] \latched_red$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:27" *)
- output [1:0] o_blue;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:23" *)
- wire [9:0] o_blue_par;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:28" *)
- output [1:0] o_clk;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:26" *)
- output [1:0] o_green;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:22" *)
- wire [9:0] o_green_par;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:25" *)
- output [1:0] o_red;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:21" *)
- wire [9:0] o_red_par;
- (* src = "top_vgatest.py:101" *)
- input pixel_clk;
- (* src = "top_vgatest.py:101" *)
- input pixel_rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:63" *)
- wire [7:0] red_d;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:55" *)
- reg [9:0] shift_blue = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:55" *)
- reg [9:0] \shift_blue$next ;
- (* src = "top_vgatest.py:102" *)
- input shift_clk;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:57" *)
- reg [9:0] shift_clock = 10'h01f;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:57" *)
- reg [9:0] \shift_clock$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:54" *)
- reg [9:0] shift_green = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:54" *)
- reg [9:0] \shift_green$next ;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:53" *)
- reg [9:0] shift_red = 10'h000;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:53" *)
- reg [9:0] \shift_red$next ;
- (* src = "top_vgatest.py:102" *)
- wire shift_rst;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- wire u21_i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- wire [1:0] u21_i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- wire [7:0] u21_i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- wire [9:0] u21_o_encoded;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- wire u22_i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- wire [1:0] u22_i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- wire [7:0] u22_i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- wire [9:0] u22_o_encoded;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:9" *)
- wire u23_i_blank;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:8" *)
- wire [1:0] u23_i_c;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:7" *)
- wire [7:0] u23_i_data;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/tmds_encoder.py:10" *)
- wire [9:0] u23_o_encoded;
- assign \$9 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:164" *) { 1'h0, shift_green[9:2] };
- assign \$12 = shift_clock[5:4] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *) \$11 [4];
- assign \$14 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:165" *) { 1'h0, shift_blue[9:2] };
- assign \$16 = R_shift_clock_synchronizer[7] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *) 1'h0;
- assign \$18 = R_shift_clock_synchronizer[7] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *) 1'h0;
- assign \$21 = R_sync_fail + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:177" *) 1'h1;
- assign \$2 = shift_clock[5:4] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *) \$1 [4];
- assign \$4 = + (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:163" *) { 1'h0, shift_red[9:2] };
- assign \$7 = shift_clock[5:4] == (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *) \$6 [4];
- always @(posedge pixel_clk)
- latched_red <= \latched_red$next ;
- always @(posedge shift_clk)
- R_sync_fail <= \R_sync_fail$next ;
- always @(posedge shift_clk)
- shift_clock <= \shift_clock$next ;
- always @(posedge shift_clk)
- shift_blue <= \shift_blue$next ;
- always @(posedge shift_clk)
- shift_green <= \shift_green$next ;
- always @(posedge shift_clk)
- shift_red <= \shift_red$next ;
- always @(posedge pixel_clk)
- latched_blue <= \latched_blue$next ;
- always @(posedge pixel_clk)
- latched_green <= \latched_green$next ;
- u21 u21 (
- .i_blank(u21_i_blank),
- .i_c(u21_i_c),
- .i_data(u21_i_data),
- .o_encoded(u21_o_encoded),
- .pixel_clk(pixel_clk),
- .pixel_rst(pixel_rst)
- );
- u22 u22 (
- .i_blank(u22_i_blank),
- .i_c(u22_i_c),
- .i_data(u22_i_data),
- .o_encoded(u22_o_encoded),
- .pixel_clk(pixel_clk),
- .pixel_rst(pixel_rst)
- );
- u23 u23 (
- .i_blank(u23_i_blank),
- .i_c(u23_i_c),
- .i_data(u23_i_data),
- .o_encoded(u23_o_encoded),
- .pixel_clk(pixel_clk),
- .pixel_rst(pixel_rst)
- );
- always @* begin
- \latched_red$next = encoded_red;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \latched_red$next = 10'h000;
- endcase
- end
- always @* begin
- \latched_green$next = encoded_green;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \latched_green$next = 10'h000;
- endcase
- end
- always @* begin
- \latched_blue$next = encoded_blue;
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (pixel_rst)
- 1'h1:
- \latched_blue$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- casez (\$2 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" */
- 1'h1:
- \shift_red$next = latched_red;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:161" */
- default:
- \shift_red$next = \$4 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (shift_rst)
- 1'h1:
- \shift_red$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- casez (\$7 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" */
- 1'h1:
- \shift_green$next = latched_green;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:161" */
- default:
- \shift_green$next = \$9 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (shift_rst)
- 1'h1:
- \shift_green$next = 10'h000;
- endcase
- end
- always @* begin
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" *)
- casez (\$12 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:155" */
- 1'h1:
- \shift_blue$next = latched_blue;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:161" */
- default:
- \shift_blue$next = \$14 ;
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (shift_rst)
- 1'h1:
- \shift_blue$next = 10'h000;
- endcase
- end
- always @* begin
- \shift_clock$next = shift_clock;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *)
- casez (\$16 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" */
- 1'h1:
- \shift_clock$next = { shift_clock[1:0], shift_clock[9:2] };
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:170" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:173" *)
- casez (R_sync_fail[6])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:173" */
- 1'h1:
- \shift_clock$next = 10'h01f;
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (shift_rst)
- 1'h1:
- \shift_clock$next = 10'h01f;
- endcase
- end
- always @* begin
- \R_sync_fail$next = R_sync_fail;
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" *)
- casez (\$18 )
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:168" */
- 1'h1:
- /* empty */;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:170" */
- default:
- (* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:173" *)
- casez (R_sync_fail[6])
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:173" */
- 1'h1:
- \R_sync_fail$next = 7'h00;
- /* src = "/home/oguz286/Projects/FPGA/nmigen/ulx3s/dvi/vga2dvid.py:176" */
- default:
- \R_sync_fail$next = \$20 [6:0];
- endcase
- endcase
- (* src = "/home/oguz286/.local/lib/python3.8/site-packages/nmigen/hdl/xfrm.py:519" *)
- casez (shift_rst)
- 1'h1:
- \R_sync_fail$next = 7'h00;
- endcase
- end
- assign \$1 = 5'h1f;
- assign \$6 = 5'h1f;
- assign \$11 = 5'h1f;
- assign \$20 = \$21 ;
- assign shift_rst = 1'h0;
- assign R_shift_clock_synchronizer = 8'h00;
- assign o_clk = shift_clock[1:0];
- assign o_blue = shift_blue[1:0];
- assign o_green = shift_green[1:0];
- assign o_red = shift_red[1:0];
- assign o_blue_par = latched_blue;
- assign o_green_par = latched_green;
- assign o_red_par = latched_red;
- assign encoded_blue = u23_o_encoded;
- assign u23_i_blank = i_blank;
- assign u23_i_c = c_blue;
- assign u23_i_data = blue_d;
- assign encoded_green = u22_o_encoded;
- assign u22_i_blank = i_blank;
- assign u22_i_c = 2'h0;
- assign u22_i_data = green_d;
- assign encoded_red = u21_o_encoded;
- assign u21_i_blank = i_blank;
- assign u21_i_c = 2'h0;
- assign u21_i_data = red_d;
- assign blue_d = i_blue;
- assign green_d = i_green;
- assign red_d = i_red;
- assign c_blue = { i_vsync, i_hsync };
- endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement