Advertisement
Guest User

Untitled

a guest
May 4th, 2017
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. module ControllerTest();
  3.  
  4.     logic       CLOCK, reset;
  5.     logic [5:0] op, funct;
  6.     logic       zero;
  7.     logic       pcen, memwrite, irwrite, regwrite;
  8.     logic       alusrca, iord, memtoreg, regdst;
  9.     logic [1:0] alusrcb, pcsrc;
  10.     logic [2:0] alucontrol;
  11.     logic [10:0] controls;
  12.  
  13.     initial begin
  14.         reset = 1; #10; reset = 0;
  15.         op = 6'b000010;
  16.         op = 6'b001000;     #100;
  17.         op = 6'b000100;     #100;
  18.         op = 6'b0;  funct = 6'b100000; #100;
  19.         op = 6'b101011;     #100;
  20.         funct = 6'b100010;  #100;
  21.         funct = 6'b100100;  #100;
  22.         op = 6'b100011;     #100;
  23.         funct = 6'b100101;  #100;
  24.         funct = 6'b101010;  #100;
  25.    
  26.     end
  27.     always begin
  28.         clk = 1; #10;   clk = 0; #10;
  29.     end
  30.     controller controler(clk, reset, op, funct, zero, pcen, memwrite, irwrite, regwrite, alusrca,iord,memtoreg,regdst, alusrcb, pcsrc, alucontrol);
  31.    
  32.     assign controls = {pcen, memwrite, irwrite, regwrite, alusrca, alusrcb, pcsrc, alucontrol};
  33.  
  34. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement