Advertisement
Guest User

Untitled

a guest
Feb 27th, 2020
101
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.57 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity part2ecen327lab5 is
  4.  
  5. port (sw0, sw1, sw2, sw3, sw4: in std_logic_vector ( 1 downto 0);
  6. HEX0, HEX1, HEX2, HEX3 : OUT STD_LOGIC_VECTOR(0 TO 6));
  7.  
  8. end part2ecen327lab5;
  9.  
  10. architecture behavior of part2ecen327lab5 is
  11.  
  12. SIGNAL sig0, sig1, sig2, sig3 : STD_LOGIC_VECTOR(0 TO 6);
  13.  
  14. begin
  15.  
  16. sig0 <= "1000010" when sw0 = "00" else
  17. "0110000" when sw0 = "01" else
  18. "1111001" when sw0 = "10" else
  19. "0000001" when sw0 = "11";
  20.  
  21.  
  22. sig1 <= "1000010" when sw1 = "00" else
  23. "0110000" when sw1 = "01" else
  24. "1111001" when sw1 = "10" else
  25. "0000001" when sw1 = "11";
  26.  
  27.  
  28. sig2 <= "1000010" when sw2 = "00" else
  29. "0110000" when sw2 = "01" else
  30. "1111001" when sw2 = "10" else
  31. "0000001" when sw2 = "11";
  32.  
  33.  
  34. sig3 <= "1000010" when sw3 = "00" else
  35. "0110000" when sw3 = "01" else
  36. "1111001" when sw3 = "10" else
  37. "0000001" when sw3 = "11";
  38. process(sw4)
  39. begin
  40. case sw4 is
  41.  
  42. when "00" =>
  43. HEX0 <= sig0;
  44. HEX1 <= sig1;
  45. HEX2 <= sig2;
  46. HEX3 <= sig3;
  47. when "01" =>
  48. HEX0 <= sig1;
  49. HEX1 <= sig2;
  50. HEX2 <= sig3;
  51. HEX3 <= sig0;
  52. when "10" =>
  53. HEX0 <= sig2;
  54. HEX1 <= sig3;
  55. HEX2 <= sig0;
  56. HEX3 <= sig1;
  57. when "11" =>
  58. HEX0 <= sig3;
  59. HEX1 <= sig0;
  60. HEX2 <= sig1;
  61. HEX3 <= sig2;
  62.  
  63. end case;
  64.  
  65. end process;
  66. end behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement