Advertisement
Guest User

Untitled

a guest
Sep 18th, 2019
125
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.43 KB | None | 0 0
  1. #include<bits/stdc++.h>
  2.  
  3. using namespace std;
  4.  
  5. #pragma GCC optimize("Ofast,no-stack-protector")
  6. #pragma GCC target("sse,sse2,sse3,ssse3,sse4,popcnt,abm,mmx,avx,tune=native")
  7. #pragma GCC optimize("unroll-loops")
  8.  
  9. /// Typedef
  10. typedef long long ll;
  11.  
  12. #define sc1(a) scanf("%lld",&a)
  13. #define sc2(a,b) scanf("%lld %lld",&a,&b)
  14.  
  15. #define pf1(a) printf("%lld\n",a)
  16. #define pf2(a,b) printf("%lld %lld\n",a,b)
  17.  
  18. #define vpnt(ans) for(ll i = 0; i < ans.size(); i++) cout << ans[i] << (i + 1 < ans.size() ? ' ' : '\n');
  19. #define apnt(arr, num) for(ll i = 0; i < num; i++) cout << arr[i] << (i + 1 < num ? ' ' : '\n');
  20.  
  21. #define mx 100007
  22. #define mod 100000007
  23. #define PI acos(-1.0)
  24. #define size1 200005
  25.  
  26. #define no cout << "NO" << endl
  27. #define yes cout << "YES" << endl
  28.  
  29. #define pb push_back
  30. #define ff first
  31. #define ss second
  32. #define mp make_pair
  33. #define case cout << "Case " << t++ << ": ";
  34.  
  35. typedef vector <ll> vll;
  36. typedef map <ll, ll> mll;
  37. typedef pair <ll, ll> pll;
  38. typedef vector <pair <ll, ll> > vpll;
  39.  
  40. ll dp[2000];
  41. ll fibonacciDP(ll num)
  42. {
  43. if(dp[num] == 0){
  44. if(num <= 1) dp[num] = num;
  45. else
  46. dp[num] = fibonacciDP(num - 1) + fibonacciDP(num - 2);
  47. }
  48.  
  49. return dp[num];
  50. }
  51.  
  52. int main()
  53. {
  54. ll m, tc, num, t = 1;
  55.  
  56. fibonacciDP(100);
  57.  
  58. for (int i = 0; i < 10; ++i) {
  59. cout << dp[i] << endl;
  60. }
  61. }
  62.  
  63. /*
  64. * Fibonacci number series
  65. 0, 1, 1, 2, 3, 5, 8, 13, 21, 34, 55, 89, 144, ……..
  66.  
  67. output:
  68. 0
  69. 1
  70. 1
  71. 2
  72. 3
  73. 5
  74. 8
  75. 13
  76. 21
  77. 34
  78.  
  79. */
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement