Advertisement
Guest User

nmgfifotestcasefail

a guest
Nov 6th, 2019
209
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.77 KB | None | 0 0
  1. ======================================================================
  2. FAIL: test_reversible (nmigen.test.test_lib_coding.GrayCoderTestCase)
  3. ----------------------------------------------------------------------
  4. Traceback (most recent call last):
  5. File "/home/fubar/software/nmigen/nmigen/test/test_lib_coding.py", line 122, in test_reversible
  6. self.assertFormal(spec, mode="prove")
  7. File "/home/fubar/software/nmigen/nmigen/test/tools.py", line 103, in assertFormal
  8. self.fail("Formal verification failed:\n" + stdout)
  9. AssertionError: Formal verification failed:
  10. SBY 20:45:31 [spec_lib_coding_reversible] Removing direcory 'spec_lib_coding_reversible'.
  11. SBY 20:45:31 [spec_lib_coding_reversible] Writing 'spec_lib_coding_reversible/src/top.il'.
  12. SBY 20:45:31 [spec_lib_coding_reversible] engine_0: smtbmc
  13. SBY 20:45:31 [spec_lib_coding_reversible] base: starting process "cd spec_lib_coding_reversible/src; yosys -ql ../model/design.log ../model/design.ys"
  14. SBY 20:45:31 [spec_lib_coding_reversible] base: finished (returncode=0)
  15. SBY 20:45:31 [spec_lib_coding_reversible] smt2: starting process "cd spec_lib_coding_reversible/model; yosys -ql design_smt2.log design_smt2.ys"
  16. SBY 20:45:31 [spec_lib_coding_reversible] smt2: finished (returncode=0)
  17. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: starting process "cd spec_lib_coding_reversible; yosys-smtbmc --presat --unroll --noprogress -t 1 --append 0 --dump-vcd engine_0/trace.vcd --dump-vlogtb engine_0/trace_tb.v --dump-smtc engine_0/trace.smtc model/design_smt2.smt2"
  18. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.induction: starting process "cd spec_lib_coding_reversible; yosys-smtbmc --presat --unroll -i --noprogress -t 1 --append 0 --dump-vcd engine_0/trace_induct.vcd --dump-vlogtb engine_0/trace_induct_tb.v --dump-smtc engine_0/trace_induct.smtc model/design_smt2.smt2"
  19. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: ## 0:00:00 Solver: yices
  20. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: Traceback (most recent call last):
  21. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/local/bin/yosys-smtbmc", line 398, in <module>
  22. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: smt.write(line)
  23. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/local/bin/../share/yosys/python3/smtio.py", line 359, in write
  24. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: self.setup()
  25. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/local/bin/../share/yosys/python3/smtio.py", line 213, in setup
  26. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: self.p_open()
  27. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/local/bin/../share/yosys/python3/smtio.py", line 307, in p_open
  28. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: self.p = subprocess.Popen(self.popen_vargs, stdin=subprocess.PIPE, stdout=subprocess.PIPE, stderr=subprocess.STDOUT)
  29. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/lib/python3.7/subprocess.py", line 775, in __init__
  30. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: restore_signals, start_new_session)
  31. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: File "/usr/lib/python3.7/subprocess.py", line 1522, in _execute_child
  32. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: raise child_exception_type(errno_num, err_msg, err_filename)
  33. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: FileNotFoundError: [Errno 2] No such file or directory: 'yices-smt2': 'yices-smt2'
  34. SBY 20:45:31 [spec_lib_coding_reversible] engine_0.basecase: finished (returncode=1)
  35. SBY 20:45:31 [spec_lib_coding_reversible] ERROR: engine_0: Engine terminated without status.
  36. SBY 20:45:31 [spec_lib_coding_reversible] DONE (ERROR, rc=16)
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement