Advertisement
Guest User

Untitled

a guest
Nov 4th, 2021
52
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.17 KB | None | 0 0
  1. module test(
  2. input wire [31:0] a,
  3. input wire [1:0] b,
  4. output wire [7:0] x
  5. );
  6.  
  7. // assign x = a[(8*b)+:8];
  8. assign x = a[(b<<3)+:8];
  9.  
  10. endmodule
  11.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement