Advertisement
Guest User

Untitled

a guest
Jul 17th, 2018
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module fib(clk, reset, count, out, prev,present, temp);
  2. // This module generates nth fibonacchi number, where n is the output of the count
  3.     input clk, reset;
  4.     output reg[7:0] count;
  5.     output [7:0]out;
  6.     output reg [7:0]prev, present, temp;
  7.     //state register
  8.     always @(posedge clk)
  9.         if(reset==1) count = 1;
  10.         else count = count + 1;
  11.        
  12.     //next state logic
  13.     always @(count[0])
  14.     case(count)
  15.     8'b00000001:begin
  16.                 prev = 8'bxxxxxxxx; present = 8'bxxxxxxxx;
  17.                 end
  18.     8'b00000010:begin
  19.                 prev = 8'b00000000; present = 8'b00000001;
  20.                 end
  21.     default: begin
  22.                 temp = present;
  23.                 present = present + prev;
  24.                 prev = temp;
  25.             end
  26.     endcase
  27.        
  28.     //output logic
  29.    
  30.     assign out = present;
  31.    
  32. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement