Advertisement
Guest User

Untitled

a guest
May 1st, 2018
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. `timescale 1 ns / 1 ps
  3.  
  4.     module axis_test_v1_0 #
  5.     (
  6.         // Users to add parameters here
  7.  
  8.         // User parameters ends
  9.         // Do not modify the parameters beyond this line
  10.  
  11.  
  12.         // Parameters of Axi Slave Bus Interface S00_AXIS
  13.         parameter integer C_S00_AXIS_TDATA_WIDTH    = 32,
  14.  
  15.         // Parameters of Axi Master Bus Interface M00_AXIS
  16.         parameter integer C_M00_AXIS_TDATA_WIDTH    = 32,
  17.         parameter integer C_M00_AXIS_START_COUNT    = 32
  18.     )
  19.     (
  20.         // Users to add ports here
  21.  
  22.         // User ports ends
  23.         // Do not modify the ports beyond this line
  24.  
  25.  
  26.         // Ports of Axi Slave Bus Interface S00_AXIS
  27.         input wire  s00_axis_aclk,
  28.         input wire  s00_axis_aresetn,
  29.         output wire  s00_axis_tready,
  30.         input wire [C_S00_AXIS_TDATA_WIDTH-1 : 0] s00_axis_tdata,
  31.         input wire [(C_S00_AXIS_TDATA_WIDTH/8)-1 : 0] s00_axis_tstrb,
  32.         input wire  s00_axis_tlast,
  33.         input wire  s00_axis_tvalid,
  34.  
  35.         // Ports of Axi Master Bus Interface M00_AXIS
  36.         input wire  m00_axis_aclk,
  37.         input wire  m00_axis_aresetn,
  38.         output wire  m00_axis_tvalid,
  39.         output wire [C_M00_AXIS_TDATA_WIDTH-1 : 0] m00_axis_tdata,
  40.         output wire [(C_M00_AXIS_TDATA_WIDTH/8)-1 : 0] m00_axis_tstrb,
  41.         output wire  m00_axis_tlast,
  42.         input wire  m00_axis_tready
  43.     );
  44.    
  45.     wire   [31:0]  procData;
  46.     assign m00_axis_tdata  =   procData;
  47.     assign m00_axis_aclk   =   m00_axis_aclk;
  48.     assign m00_axis_aresetn=   s00_axis_aresetn;
  49.     assign m00_axis_tvalid =   s00_axis_tvalid;
  50.     assign m00_axis_tstrb  =   s00_axis_tstrb;
  51.     assign m00_axis_tlast  =   s00_axis_tlast;
  52.     assign m00_axis_tready =   s00_axis_tready;
  53.    
  54. // Instantiation of Axi Bus Interface S00_AXIS
  55.     axis_test_v1_0_S00_AXIS # (
  56.         .C_S_AXIS_TDATA_WIDTH(C_S00_AXIS_TDATA_WIDTH)
  57.     ) axis_test_v1_0_S00_AXIS_inst (
  58.         .processedData(procData),                    //Тут надо как-то хитровыебанно передать m00_axis_tdata, но я не знаю как
  59.         .S_AXIS_ACLK(s00_axis_aclk),
  60.         .S_AXIS_ARESETN(s00_axis_aresetn),
  61.         .S_AXIS_TREADY(s00_axis_tready),
  62.         .S_AXIS_TDATA(s00_axis_tdata),
  63.         .S_AXIS_TSTRB(s00_axis_tstrb),
  64.         .S_AXIS_TLAST(s00_axis_tlast),
  65.         .S_AXIS_TVALID(s00_axis_tvalid)
  66.     );
  67.  
  68. // Instantiation of Axi Bus Interface M00_AXIS
  69.     axis_test_v1_0_M00_AXIS # (
  70.         .C_M_AXIS_TDATA_WIDTH(C_M00_AXIS_TDATA_WIDTH),
  71.         .C_M_START_COUNT(C_M00_AXIS_START_COUNT)
  72.     ) axis_test_v1_0_M00_AXIS_inst (
  73.         .M_AXIS_ACLK(m00_axis_aclk),
  74.         .M_AXIS_ARESETN(m00_axis_aresetn),
  75.         .M_AXIS_TVALID(m00_axis_tvalid),
  76.         .M_AXIS_TDATA(m00_axis_tdata),
  77.         .M_AXIS_TSTRB(m00_axis_tstrb),
  78.         .M_AXIS_TLAST(m00_axis_tlast),
  79.         .M_AXIS_TREADY(m00_axis_tready)
  80.     );
  81.  
  82.     // Add user logic here
  83.    
  84.  
  85.     // User logic ends
  86.  
  87.     endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement