Advertisement
Guest User

Untitled

a guest
Feb 27th, 2020
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity Lab5Part1 is
  5. port (SW : IN std_logic_vecTOR(9 DOWNTO 0);
  6. LEDR : OUT std_logic_vector(9 downto 0));
  7. END Lab5Part1;
  8.  
  9. Architecture Behavior OF Lab5Part1 is
  10. Begin
  11. LEDR <= SW;
  12. End Behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement