Advertisement
Guest User

bcd7seg

a guest
Jan 22nd, 2020
67
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.76 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3. entity BCDto7seg is
  4. port (wej: in std_logic_vector (3 downto 0);
  5. sevenseg: out std_logic_vector(6 downto 0));
  6. end BCDto7seg;
  7.  
  8. architecture ledy of BCDto7seg is
  9. begin
  10. process(wej)
  11. begin
  12. case wej is
  13. when "0000" => sevenseg <= "1000000";
  14. when "0001" => sevenseg <= "1111001";
  15. when "0010" => sevenseg <= "0100100";
  16. when "0011" => sevenseg <= "0110000";
  17. when "0100" => sevenseg <= "0011001";
  18. when "0101" => sevenseg <= "0010010";
  19. when "0110" => sevenseg <= "0000010";
  20. when "0111" => sevenseg <= "1111000";
  21. when "1000" => sevenseg <= "0000000";
  22. when "1001" => sevenseg <= "0010000";
  23. when others => sevenseg <= "-------";
  24. end case;
  25. end process;
  26. end ledy;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement