Advertisement
Guest User

Untitled

a guest
Mar 21st, 2018
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.87 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4.  
  5. entity sevsegcase is
  6. port
  7. (
  8. bin : in std_logic_vector(3 downto 0);
  9. seg : out std_logic_vector(6 downto 0)
  10. );
  11. end sevsegcase;
  12.  
  13. architecture behcase of sevsegcase is
  14. begin
  15. process(bin)
  16. begin
  17. case bin is
  18. when "0000" => seg<="1000000";--0
  19. when "0001" => seg<="1111001";--1
  20. when "0010" => seg<="0100100";--2
  21. when "0011" => seg<="0110000";--3
  22. when "0100" => seg<="0011001";--4
  23. when "0101" => seg<="0010010";--5
  24. when "0110" => seg<="0000010";--6
  25. when "0111" => seg<="1111000";--7
  26. when "1000" => seg<="0000000";--8
  27. when "1001" => seg<="0010000";--9
  28. when "1010" => seg<="0001000";--a
  29. when "1011" => seg<="0000011";--b
  30. when "1100" => seg<="1000110";--c
  31. when "1101" => seg<="0100001";--d
  32. when "1110" => seg<="0000110";--e
  33. when "1111" => seg<="0001110";--f
  34. when others => seg<="1111111";
  35. end case;
  36. end process;
  37. end behcase;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement