Advertisement
Guest User

Untitled

a guest
Dec 7th, 2019
100
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.63 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity zadanie67 is
  5. port(ster : in bit;
  6. clk : in bit
  7. dane : in bit_vector(7 downto 0) -- deklaracja wejść
  8. led : out bit_vector(7 downto 0);
  9. hex : out bit_vector(7 downto 0) -- deklaracja wyjść
  10. end zadanie67;
  11.  
  12. architecture behavioral of zadanie67 is
  13. begin
  14. kod: process(clk, ster)
  15. begin
  16. if(ster = 0)
  17. if(clk'event and clk="1")
  18.  
  19.  
  20.  
  21. led <= dane;
  22. end process kod;
  23. dek: process(clk, ster)
  24. begin
  25. if(ster = 1)
  26. if(clk'event and clk="1")
  27.  
  28.  
  29. led <= dane;
  30. end process dek;
  31.  
  32.  
  33.  
  34.  
  35. end behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement