Advertisement
Guest User

Untitled

a guest
Nov 16th, 2018
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.27 KB | None | 0 0
  1. module Modulo (input logic [2:0]t,
  2. input logic clk,
  3. output logic [2:0]a);
  4. reg [2:0]x = 3'd0;
  5. reg s = 0;
  6. assign a = x;
  7. always_ff@(posedge clk) begin
  8. if (x<t) begin
  9. if (s<2)
  10. s = (s+1);
  11. else begin
  12. x = (x+1);
  13. s = 0;
  14. end
  15. end
  16. end
  17. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement