Advertisement
Guest User

shiftreg verilog

a guest
Oct 22nd, 2019
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.45 KB | None | 0 0
  1. module shift (AsyncClear, Clk, SI, POut);
  2. input AsyncClear,Clk,SI;
  3. output [7:0] POut;
  4. reg [7:0] internal;
  5.  
  6. always @(posedge Clk or posedge AsyncClear)
  7. begin
  8. if(AsyncClear)
  9. internal = 0;
  10. else
  11. begin
  12. internal = {internal[6:0], SI};
  13. end
  14. end
  15. assign POut = internal;
  16. endmodule
  17.  
  18.  
  19.  
  20. //TESTBENCH STIMULUS
  21. SI = 1;
  22. AsyncClear = 1;
  23. #100
  24. AsyncClear = 0;
  25.  
  26. for(i=0;i<20;i=i+1)
  27. begin
  28. Clk = !Clk;
  29. #100
  30. end
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement