Advertisement
lasthunter657

Untitled

Dec 21st, 2021
189
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.63 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity Fulladder_generic is
  5. GENERIC (
  6. data_width : INTEGER := 4);
  7. PORT (
  8. a : IN STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
  9. b : IN STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
  10. cin : IN STD_LOGIC :='0';
  11. s : OUT STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
  12. cout : OUT STD_LOGIC);
  13. END Fulladder_generic ;
  14.  
  15. ARCHITECTURE Behavioral OF Fulladder_generic IS
  16.  
  17. COMPONENT FA IS
  18. PORT (
  19. a, b, c_in : IN STD_LOGIC;
  20. s, c_out : OUT STD_LOGIC);
  21. END COMPONENT;
  22. SIGNAL c : STD_LOGIC_VECTOR(data_width DOWNTO 0);
  23. BEGIN
  24.  
  25. c(0) <= cin;
  26. cout <= c(data_width - 1);
  27.  
  28. END Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement