Advertisement
lasthunter657

Untitled

Dec 8th, 2021
196
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.36 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.NUMERIC_STD.ALL;
  4. PACKAGE ITCE211Project_library IS
  5.  
  6. CONSTANT number_of_PE : INTEGER := 10;
  7. CONSTANT data_width : INTEGER := 8;
  8. CONSTANT data_output : INTEGER := 80;
  9.  
  10. TYPE vector_array IS ARRAY (NATURAL RANGE <>) OF STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
  11.  
  12. END PACKAGE ITCE211Project_library;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement