Advertisement
Guest User

Untitled

a guest
Mar 25th, 2019
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.49 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 12.03.2019 12:16:15
  6. -- Design Name:
  7. -- Module Name: main - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20.  
  21.  
  22. library IEEE;
  23. use IEEE.STD_LOGIC_1164.ALL;
  24.  
  25. -- Uncomment the following library declaration if using
  26. -- arithmetic functions with Signed or Unsigned values
  27. --use IEEE.NUMERIC_STD.ALL;
  28.  
  29. -- Uncomment the following library declaration if instantiating
  30. -- any Xilinx leaf cells in this code.
  31. --library UNISIM;
  32. --use UNISIM.VComponents.all;
  33.  
  34. entity main is
  35. Port( clk : in STD_LOGIC;
  36. btn : in STD_LOGIC_VECTOR (4 downto 0);
  37. sw : in STD_LOGIC_VECTOR (15 downto 0);
  38. led : out STD_LOGIC_VECTOR (15 downto 0);
  39. an : out STD_LOGIC_VECTOR (3 downto 0);
  40. cat : out STD_LOGIC_VECTOR (6 downto 0)
  41. );
  42. end main;
  43.  
  44. architecture Behavioral of main is
  45.  
  46. --component ssd is
  47. -- Port( data : in std_logic_vector (15 downto 0);
  48. -- clk : in std_logic;
  49. -- cat : out std_logic_vector (6 downto 0);
  50. -- an : out std_logic_vector (3 downto 0));
  51. --end component;
  52.  
  53. begin
  54.  
  55. ssd1 : entity Work.ssd port map (sw,clk,cat,an);
  56.  
  57. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement