Advertisement
Guest User

Untitled

a guest
Jul 21st, 2017
49
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. vector detected;
  2. float U;
  3. float V;
  4. default
  5. {
  6.     touch_start(integer x)
  7.     {
  8.        detected=llDetectedTouchUV(0);
  9.        U=detected.x;
  10.        V=detected.y;
  11.       if((U >= 0.3 || U <= 0.35) && (V >= 0.20 || V <= 0.30))
  12.        {
  13.            llSetAlpha(0.1,ALL_SIDES);
  14.         }
  15.          else if((U >= 0.4 || U <= 0.45) && (V >= 0.20 || V <= 0.30))
  16.        {
  17.            llSetAlpha(0.2,ALL_SIDES);
  18.            
  19.         }
  20.         else if((U >= 0.5 || U <= 0.55) && (V >= 0.20 || V <= 0.30))
  21.        {
  22.            llSetAlpha(0.3,ALL_SIDES);
  23.            
  24.         }
  25.         else if((U >= 0.6 || U <= 0.65) && (V >= 0.20 || V <= 0.30))
  26.        {
  27.            llSetAlpha(0.4,ALL_SIDES);
  28.            
  29.         }
  30.         else if((U >= 0.7 || U <= 0.75) && (V >= 0.20 || V <= 0.30))
  31.        {
  32.            llSetAlpha(0.5,ALL_SIDES);
  33.            
  34.         }
  35.         else if((U >= 0.8 || U <= 0.85) && (V >= 0.20 || V <= 0.30))
  36.        {
  37.            llSetAlpha(0.6,ALL_SIDES);
  38.            
  39.         }
  40.         else if((U >= 0.9 || U <= 0.95) && (V >= 0.20 || V <= 0.30))
  41.        {
  42.            llSetAlpha(0.7,ALL_SIDES);
  43.            
  44.         }
  45.         else if((U >= 1.0 || U <= 1.1) && (V >= 0.20 || V <= 0.30))
  46.        {
  47.            llSetAlpha(0.8,ALL_SIDES);
  48.            
  49.         }
  50.         else if((U >= 1.15 || U <= 1.20) && (V >= 0.20 || V <= 0.30))
  51.        {
  52.            llSetAlpha(0.9,ALL_SIDES);
  53.            
  54.         }
  55.         else if((U >= 1.25 || U <= 1.3) && (V >= 0.20 || V <= 0.30))
  56.        {
  57.            llSetAlpha(1.0,ALL_SIDES);
  58.            
  59.         }
  60.    
  61.     }
  62. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement