Advertisement
Guest User

Untitled

a guest
Nov 21st, 2019
96
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.96 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 13.11.2019 15:47:44
  7. // Design Name:
  8. // Module Name: vga_tb
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20.  
  21. module vga_tb();
  22. reg clk = 0;
  23. wire hsync, vsync;
  24. wire [3:0] pix_r;
  25. wire [3:0] pix_g;
  26. wire [3:0] pix_b;
  27. reg [10:0] hcount;
  28. reg [9:0] vcount;
  29.  
  30. vga_out uut (.clk(clk), .pix_r(pix_r), .pix_g(pix_g), .pix_b(pix_b), .hsync(hsync), .vsync(vsync));
  31.  
  32.  
  33. always #5 clk = ~clk;
  34. initial begin
  35. /*
  36. $display("hcount: %d", hcount);
  37. $display("vcount: %d", vcount);
  38.  
  39. #5 assign pix_r = 4'hF;
  40. #5 assign pix_g = 4'hF;
  41. #5 assign pix_b = 4'hF;
  42. */
  43. //$finish;
  44. end
  45.  
  46.  
  47.  
  48. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement