Guest User

Untitled

a guest
Nov 10th, 2021
116
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 11.28 KB | None | 0 0
  1. __ _ __ _ __
  2. / / (_) /____ | |/_/
  3. / /__/ / __/ -_)> <
  4. /____/_/\__/\__/_/|_|
  5. Build your hardware, easily!
  6.  
  7. (c) Copyright 2012-2021 Enjoy-Digital
  8. (c) Copyright 2007-2015 M-Labs
  9.  
  10. BIOS built on Nov 9 2021 14:15:27
  11. BIOS CRC passed (54d1ac3c)
  12.  
  13. Migen git sha1: --------
  14. LiteX git sha1: b720a50e
  15.  
  16. --=============== SoC ==================--
  17. CPU: RocketRV64[imac] @ 50MHz
  18. BUS: WISHBONE 32-bit @ 4GiB
  19. CSR: 32-bit data
  20. ROM: 128KiB
  21. SRAM: 8KiB
  22. SDRAM: 131072KiB 16-bit @ 200MT/s (CL-3 CWL-2)
  23.  
  24. --========== Initialization ============--
  25. Ethernet init...
  26. Initializing SDRAM @0x80000000...
  27. Switching SDRAM to software control.
  28. Write latency calibration:
  29. m0:0 m1:0
  30. Read leveling:
  31. m0, b00: |00000000000000000000000000000000| delays: -
  32. m0, b01: |00000000000000000000000000000000| delays: -
  33. m0, b02: |11111111111111111111111111111111| delays: 16+-16
  34. m0, b03: |00000000000000000000000000000000| delays: -
  35. m0, b04: |00000000000000000000000000000000| delays: -
  36. m0, b05: |00000000000000000000000000000000| delays: -
  37. m0, b06: |00000000000000000000000000000000| delays: -
  38. m0, b07: |00000000000000000000000000000000| delays: -
  39. best: m0, b02 delays: 16+-16
  40. m1, b00: |00000000000000000000000000000000| delays: -
  41. m1, b01: |00000000000000000000000000000000| delays: -
  42. m1, b02: |11111111111111111111111111111111| delays: 16+-16
  43. m1, b03: |00000000000000000000000000000000| delays: -
  44. m1, b04: |00000000000000000000000000000000| delays: -
  45. m1, b05: |00000000000000000000000000000000| delays: -
  46. m1, b06: |00000000000000000000000000000000| delays: -
  47. m1, b07: |00000000000000000000000000000000| delays: -
  48. best: m1, b02 delays: 16+-16
  49. Switching SDRAM to hardware control.
  50. Memtest at 0x80000000 (2.0MiB)...
  51. Write: 0x80000000-0x80200000 2.0MiB
  52. Read: 0x80000000-0x80200000 2.0MiB
  53. Memtest OK
  54. Memspeed at 0x80000000 (Sequential, 2.0MiB)...
  55. Write speed: 32.8MiB/s
  56. Read speed: 50.3MiB/s
  57.  
  58. --============== Boot ==================--
  59.  
  60. --============= Console ================--
  61.  
  62. litex> netboot
  63. Booting from network...
  64. Local IP: 192.168.1.50
  65. Remote IP: 192.168.1.100
  66. Booting from boot.json...
  67. Booting from boot.bin...
  68. Copying boot.bin to 0x80000000... (17515528 bytes)
  69. Executing booted program at 0x80000000
  70.  
  71. --============= Liftoff! ===============--
  72.  
  73. OpenSBI v0.9-167-g70f4d95
  74. ____ _____ ____ _____
  75. / __ \ / ____| _ \_ _|
  76. | | | |_ __ ___ _ __ | (___ | |_) || |
  77. | | | | '_ \ / _ \ '_ \ \___ \| _ < | |
  78. | |__| | |_) | __/ | | |____) | |_) || |_
  79. \____/| .__/ \___|_| |_|_____/|____/_____|
  80. | |
  81. |_|
  82.  
  83. Platform Name : freechips,rocketchip-unknown
  84. Platform Features : medeleg
  85. Platform HART Count : 1
  86. Platform IPI Device : aclint-mswi
  87. Platform Timer Device : aclint-mtimer @ 500000Hz
  88. Platform Console Device : litex_uart
  89. Platform HSM Device : ---
  90. Platform Reboot Device : ---
  91. Platform Shutdown Device : ---
  92. Firmware Base : 0x80000000
  93. Firmware Size : 248 KB
  94. Runtime SBI Version : 0.3
  95.  
  96. Domain0 Name : root
  97. Domain0 Boot HART : 0
  98. Domain0 HARTs : 0*
  99. Domain0 Region00 : 0x0000000002000000-0x000000000200ffff (I)
  100. Domain0 Region01 : 0x0000000080000000-0x000000008003ffff ()
  101. Domain0 Region02 : 0x0000000000000000-0xffffffffffffffff (R,W,X)
  102. Domain0 Next Address : 0x0000000080200000
  103. Domain0 Next Arg1 : 0x0000000082200000
  104. Domain0 Next Mode : S-mode
  105. Domain0 SysReset : yes
  106.  
  107. Boot HART ID : 0
  108. Boot HART Domain : root
  109. Boot HART ISA : rv64imafdcsux
  110. Boot HART Features : scounteren,mcounteren,mcountinhibit
  111. Boot HART PMP Count : 16
  112. Boot HART PMP Granularity : 4
  113. Boot HART PMP Address Bits: 30
  114. Boot HART MHPM Count : 0
  115. Boot HART MIDELEG : 0x0000000000000222
  116. Boot HART MEDELEG : 0x000000000000b109
  117. [ 0.000000] Linux version 5.15.0-11878-g6b7545f25d46 (somlo@glsvmlin.ini.cmu.edu) (riscv64-unknown-linux-gnu-gcc (GCC) 11.1.0, GNU ld (GNU Binutils) 2.37) #719 SMP Tue Nov 9 18:04:20 EST 2021
  118. [ 0.000000] OF: fdt: Ignoring memory range 0x80000000 - 0x80200000
  119. [ 0.000000] Machine model: freechips,rocketchip-unknown
  120. [ 0.000000] earlycon: sbi0 at I/O port 0x0 (options '')
  121. [ 0.000000] printk: bootconsole [sbi0] enabled
  122. [ 0.000000] efi: UEFI not found.
  123. [ 0.000000] Zone ranges:
  124. [ 0.000000] DMA32 [mem 0x0000000080200000-0x0000000087ffffff]
  125. [ 0.000000] Normal empty
  126. [ 0.000000] Movable zone start for each node
  127. [ 0.000000] Early memory node ranges
  128. [ 0.000000] node 0: [mem 0x0000000080200000-0x0000000087ffffff]
  129. [ 0.000000] Initmem setup node 0 [mem 0x0000000080200000-0x0000000087ffffff]
  130. [ 0.000000] SBI specification v0.3 detected
  131. [ 0.000000] SBI implementation ID=0x1 Version=0x9
  132. [ 0.000000] SBI TIME extension detected
  133. [ 0.000000] SBI IPI extension detected
  134. [ 0.000000] SBI RFENCE extension detected
  135. [ 0.000000] SBI v0.2 HSM extension detected
  136. [ 0.000000] riscv: ISA extensions acdfim
  137. [ 0.000000] riscv: ELF capabilities acdfim
  138. [ 0.000000] percpu: Embedded 13 pages/cpu s23896 r0 d29352 u53248
  139. [ 0.000000] pcpu-alloc: s23896 r0 d29352 u53248 alloc=13*4096
  140. [ 0.000000] pcpu-alloc: [0] 0
  141. [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 31752
  142. [ 0.000000] Kernel command line: earlycon=sbi console=liteuart swiotlb=noforce
  143. [ 0.000000] Dentry cache hash table entries: 16384 (order: 5, 131072 bytes, linear)
  144. [ 0.000000] Inode-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
  145. [ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
  146. [ 0.000000] Memory: 110316K/129024K available (4319K kernel code, 3961K rwdata, 2048K rodata, 2903K init, 278K bss, 18708K reserved, 0K cma-reserved)
  147. [ 0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=1, Nodes=1
  148. [ 0.000000] rcu: Hierarchical RCU implementation.
  149. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=1.
  150. [ 0.000000] Tracing variant of Tasks RCU enabled.
  151. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies.
  152. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=1
  153. [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
  154. [ 0.000000] riscv-intc: 64 local interrupts mapped
  155. [ 0.000000] plic: interrupt-controller@c000000: mapped 4 interrupts with 1 handlers for 2 contexts.
  156. [ 0.000000] random: get_random_bytes called from start_kernel+0x4c6/0x6bc with crng_init=0
  157. [ 0.000000] riscv_timer_init_dt: Registering clocksource cpuid [0] hartid [0]
  158. [ 0.000000] clocksource: riscv_clocksource: mask: 0xffffffffffffffff max_cycles: 0x1d854df40, max_idle_ns: 7052723233920 ns
  159. [ 0.000034] sched_clock: 64 bits at 500kHz, resolution 2000ns, wraps every 4398046511000ns
  160. [ 0.010918] Console: colour dummy device 128x32
  161. [ 0.015184] Calibrating delay loop (skipped), value calculated using timer frequency.. 1.00 BogoMIPS (lpj=5000)
  162. [ 0.025636] pid_max: default: 32768 minimum: 301
  163. [ 0.032200] LSM: Security Framework initializing
  164. [ 0.037664] Mount-cache hash table entries: 512 (order: 0, 4096 bytes, linear)
  165. [ 0.044658] Mountpoint-cache hash table entries: 512 (order: 0, 4096 bytes, linear)
  166. [ 0.094828] ASID allocator disabled
  167. [ 0.100524] rcu: Hierarchical SRCU implementation.
  168. [ 0.110916] EFI services will not be available.
  169. [ 0.118294] smp: Bringing up secondary CPUs ...
  170. [ 0.122058] smp: Brought up 1 node, 1 CPU
  171. [ 0.134552] devtmpfs: initialized
  172. [ 0.162926] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
  173. [ 0.172920] futex hash table entries: 256 (order: 2, 16384 bytes, linear)
  174. [ 0.188650] NET: Registered PF_NETLINK/PF_ROUTE protocol family
  175. [ 0.345992] pps_core: LinuxPPS API ver. 1 registered
  176. [ 0.350592] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
  177. [ 0.359848] PTP clock support registered
  178. [ 0.377708] clocksource: Switched to clocksource riscv_clocksource
  179. [ 0.579156] NET: Registered PF_INET protocol family
  180. [ 0.585524] IP idents hash table entries: 2048 (order: 2, 16384 bytes, linear)
  181. [ 0.603922] tcp_listen_portaddr_hash hash table entries: 256 (order: 0, 4096 bytes, linear)
  182. [ 0.613036] TCP established hash table entries: 1024 (order: 1, 8192 bytes, linear)
  183. [ 0.621236] TCP bind hash table entries: 1024 (order: 2, 16384 bytes, linear)
  184. [ 0.628754] TCP: Hash tables configured (established 1024 bind 1024)
  185. [ 0.636878] UDP hash table entries: 256 (order: 1, 8192 bytes, linear)
  186. [ 0.643948] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes, linear)
  187. [ 0.654492] NET: Registered PF_UNIX/PF_LOCAL protocol family
  188. [ 0.792426] workingset: timestamp_bits=46 max_order=15 bucket_order=0
  189. [ 1.234874] LiteX SoC Controller driver initialized
  190. [ 2.992884] 12006800.serial: ttyLXU0 at MMIO 0x0 (irq = 0, base_baud = 0) is a liteuart
  191. [ 3.005648] printk: console [liteuart0] enabled
  192. [ 3.005648] printk: console [liteuart0] enabled
  193. [ 3.014816] printk: bootconsole [sbi0] disabled
  194. [ 3.014816] printk: bootconsole [sbi0] disabled
  195. [ 3.133116] loop: module loaded
  196. [ 3.401510] liteeth 12001000.mac eth0: irq 2 slots: tx 2 rx 2 size 2048
  197. [ 3.410610] SPI driver mmc_spi has no spi_device_id for mmc-spi-slot
  198. [ 3.437650] litex-mmc 12005000.mmc: Requested clk_freq=12500000: set to 12500000 via div=4
  199. [ 3.476678] NET: Registered PF_INET6 protocol family
  200. [ 3.511066] Segment Routing with IPv6
  201. [ 3.514306] In-situ OAM (IOAM) with IPv6
  202. [ 3.519764] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
  203. [ 3.538064] NET: Registered PF_PACKET protocol family
  204. [ 3.544800] litex-mmc 12005000.mmc: Requested clk_freq=0: set to 195312 via div=256
  205. [ 3.583196] Warning: unable to open an initial console.
  206. [ 3.667856] Freeing unused kernel image (initmem) memory: 2900K
  207. [ 3.673418] litex-mmc 12005000.mmc: Requested clk_freq=12500000: set to 12500000 via div=4
  208. [ 3.698996] Run /init as init process
  209. [ 3.701666] with arguments:
  210. [ 3.704600] /init
  211. [ 3.706856] with environment:
  212. [ 3.710026] HOME=/
  213. [ 3.712338] TERM=linux
  214. #
  215. [ 4.261160] litex-mmc 12005000.mmc: Requested clk_freq=25000000: set to 25000000 via div=2
  216. [ 4.269664] mmc0: new SDHC card at address d67d
  217. [ 4.282806] mmcblk0: mmc0:d67d SU32G 29.7 GiB
  218. [ 4.306468] mmcblk0: p1 p2
  219. #
  220. # mount /dev/mmcblk0p1 /mnt; md5sum /mnt/*; umount /mnt
  221. [ 28.051960] FAT-fs (mmcblk0p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
  222. [ 29.031960] random: fast init done
  223. b8a4a9d9982384a8adb117fb255e4a5f /mnt/boot.bin
  224. #
  225. # ifconfig eth0 up; udhcpc -i eth0; ifconfig eth0 down
  226. udhcpc: started, v1.31.0
  227. udhcpc: sending discover
  228. udhcpc: sending discover
  229. udhcpc: sending select for 192.168.2.208
  230. udhcpc: lease of 192.168.2.208 obtained, lease time 43200
  231. #
  232. # cat /proc/cpuinfo
  233. processor : 0
  234. hart : 0
  235. isa : rv64imafdc
  236. mmu : sv39
  237. uarch : sifive,rocket0
  238.  
  239. # uname -a
  240. Linux litex 5.15.0-11878-g6b7545f25d46 #719 SMP Tue Nov 9 18:04:20 EST 2021 riscv64 GNU/Linux
  241. #
Add Comment
Please, Sign In to add comment