Guest User

Untitled

a guest
Jan 12th, 2019
151
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module part5(CLOCK_50, HEX0);
  2.     input CLOCK_50;
  3.     output [0:6]HEX0;
  4.     reg [25:0] R;
  5.     reg [3:0] N;
  6.     always @(posedge CLOCK_50) begin
  7.         if (R < 49999999) R <= R + 26'b1;
  8.         else begin
  9.             R <= 26'b0;
  10.             if (N < 9)  N <= N + 4'b1;
  11.             else N <= 4'b0;
  12.         end
  13.     end
  14.     seg7 s0(N,HEX0);
  15. endmodule
  16.  
  17. module seg7(C, H);
  18.     input [3:0] C;
  19.     output [0:6] H;
  20.     assign H[0] = (~C[3]&~C[2]&~C[1]&C[0])|(C[2]&~C[1]&~C[0]);
  21.     assign H[1] = C[2]&(C[1]^C[0]);
  22.     assign H[2] = (~C[2]&C[1]&~C[0]);
  23.     assign H[3] = H[0]|(C[2]&C[1]&C[0]);
  24.     assign H[4] = C[0]|(C[2]&~C[1]);
  25.     assign H[5] = (~C[3]&~C[2]&C[0])|(C[0]&C[1])|(C[1]&~C[2]);
  26.     assign H[6] = (~C[3]&~C[2]&~C[1])|(C[2]&C[1]&C[0]);
  27. endmodule
Add Comment
Please, Sign In to add comment