Advertisement
seethesatyrrise

Untitled

Jun 20th, 2017
51
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module test_cnt;
  3. logic clk, clrn;
  4.  
  5. initial
  6. begin
  7.     clk=0;
  8.     forever #10 clk = ~clk;
  9. end
  10. initial
  11. begin
  12.     clrn = 1;
  13.     #50 clrn = ~clrn;
  14.     #60 clrn = ~clrn;
  15.     #70 clrn = ~clrn;
  16.     #80 clrn = ~clrn;
  17.     #100 clrn = ~clrn;
  18.     #300 $stop;
  19. end
  20. cnt uut_inst(clk, clrn, q);
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement