Advertisement
JayAurabind

Untitled

Jan 10th, 2013
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. ERROR:Xst:528 - Multi-source in Unit <minsoc_top> on signal <debug_tdo>; this signal is connected to multiple drivers.
  2. Drivers are:
  3. Output port adbg_top:tdo_o of instance <dbg_top>
  4. Output port BSCAN_SPARTAN6:TMS of instance <tap_top/BSCAN_SPARTAN6_inst>
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement