Advertisement
Guest User

Untitled

a guest
May 8th, 2018
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module FSM_lab7_part1a_rab(
  2.     input [1:0] SW,
  3.     input [1:0] KEY,
  4.     output [9:0] LEDR);
  5.    
  6.     FSM_lab7_part1a ex(SW[1],KEY[0],SW[0],LEDR[9],LEDR[8:0]);
  7. endmodule
  8.  
  9. module FSM_lab7_part1a(
  10.     input w,clk,aclr,
  11.     output reg z,
  12.     output reg [8:0] y);
  13.     reg [8:0] d;
  14.     always @(*)
  15.     begin
  16.         d[0] = ~aclr;
  17.         d[1] = y[0] & ~w | y[5] & ~w | y[6] & ~w | y[7] & ~w | y[8] & ~w;
  18.         d[2] = y[1] & ~w;
  19.         d[3] = y[2] & ~w;
  20.         d[4] = y[3] & ~w | y[4] & ~w;
  21.         d[5] = y[0] & w | y[2] & w | y[3] & w | y[4] & w | y[5] & w;
  22.         d[6] = y[5] & w;
  23.         d[7] = y[6] & w;
  24.         d[8] = y[7] & w | y[8] & w;
  25.     end
  26.     always @(*) z = y[4] | y[8];
  27.     always @(posedge clk, negedge aclr)
  28.         if (~aclr)  begin y <= 0; y[0] <= 1'b1; end
  29.         else y <= d;
  30. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement