Advertisement
Guest User

Untitled

a guest
Feb 10th, 2019
117
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module ALU_pv(input [3:0] aluin_a, opcode, input Cin, output reg [3:0] alu_out, output reg cout, of);
  2.    wire [3:0] aluin_b;
  3.    assign aluin_b = 4'b 0011;
  4.    ALU alu(aluin_a, aluin_b, opcode, Cin, alu_out, Cout, OF);
  5. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement