Advertisement
Guest User

Modelsim + PLL

a guest
Aug 24th, 2018
125
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. `timescale 1ns / 1ps
  3.  
  4. module test_pll;
  5.  
  6. initial begin
  7.     $display("test_pll");
  8. end
  9.  
  10. reg clock;
  11. initial clock = 0;
  12. initial forever #2.5 clock = !clock;
  13.  
  14. reg pfdena;
  15. reg areset;
  16. reg scanclk;
  17. reg phasestep;
  18. reg phaseupdown;
  19. reg [2:0] phasecounterselect;
  20.  
  21. wire c0_400;
  22. wire c1_400;
  23. wire c2_50;
  24. wire locked;
  25. wire phasedone;
  26.  
  27. initial begin
  28.     pfdena = 1;
  29.     areset = 0;
  30.     scanclk = 0;
  31.     phasestep = 0;
  32.     phaseupdown = 0;
  33.     phasecounterselect = 0;
  34.     #10000;
  35.     areset = 1;
  36.     #10000;
  37.     areset = 0;
  38. end
  39.  
  40. pll pll_(
  41. .areset(areset),
  42. .inclk0(clock),
  43. .pfdena(pfdena),
  44. .phasecounterselect(phasecounterselect), // [2:0]
  45. .phasestep(phasestep),
  46. .phaseupdown(phaseupdown),
  47. .scanclk(clock),
  48. .c0(c0_400),
  49. .c1(c1_400),
  50. .c2(c2_50),
  51. .locked(locked),
  52. .phasedone(phasedone));
  53.  
  54. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement