Advertisement
Guest User

Untitled

a guest
Sep 21st, 2019
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.60 KB | None | 0 0
  1. LIBRARY ieee; -- подключение библиотеки ieee
  2. USE ieee.std_logic_1164.ALL; -- использование библиотечного
  3. -- модуля, содержащего
  4. -- дополнительные типы переменных.
  5. ENTITY notand IS PORT( a : IN std_logic;
  6. b : IN std_logic;
  7. c : OUT std_logic ); END notand;
  8. -- описание входов и выходов -- устройства (IN — вход, OUT —
  9. -- выход, INOUT — двунаправленный -- сигнал)
  10. ARCHITECTURE behavior OF notand IS BEGIN
  11. C <= NOT ( a AND b ); END behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement