Advertisement
Guest User

blinker_tb.v

a guest
Nov 14th, 2019
137
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.82 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2.  
  3. module blinker_tb();
  4. reg clk_sim;
  5. reg bs_sim;
  6. reg rst_sim;
  7. wire out_sim;
  8.  
  9. blinker blink( .blinker_switch(bs_sim),
  10. .clk(clk_sim),
  11. .reset(rst_sim),
  12. .blinker_out(out_sim) );
  13.  
  14. initial begin
  15. clk_sim = 0;
  16.  
  17. //Generate clock
  18. forever #1 clk_sim = ~clk_sim;
  19. end
  20.  
  21. initial begin
  22.  
  23. bs_sim = 0;
  24. rst_sim = 0;
  25.  
  26.  
  27. //Initial reset
  28. rst_sim = 1;
  29. #3
  30. rst_sim = 0;
  31. #3
  32.  
  33. //blinker set to 1
  34. bs_sim = 1;
  35. #2;
  36.  
  37. //blinker set to 0
  38. bs_sim = 0;
  39. #2
  40.  
  41. //blinker set to 1
  42. bs_sim = 1;
  43. #2;
  44.  
  45. //blinker set to 0
  46. bs_sim = 0;
  47. #2
  48.  
  49. //blinker set to 1
  50. bs_sim = 1;
  51. #2;
  52.  
  53. //blinker set to 0
  54. bs_sim = 0;
  55. #2
  56.  
  57. //blinker set to 1
  58. bs_sim = 1;
  59. #2;
  60.  
  61. //blinker set to 0
  62. bs_sim = 0;
  63. #2
  64.  
  65. //Reset
  66. rst_sim = 1;
  67. #10
  68. rst_sim = 0;
  69.  
  70. $finish;
  71.  
  72. end
  73.  
  74. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement