Advertisement
genemk3

posedge jk flip flop

Mar 12th, 2020
385
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module jk(J,K,clk,Q);
  4.     output Q;
  5.     input J;
  6.     input K;
  7.     input clk;
  8.     reg Q;
  9.     initial
  10.     begin
  11.         Q = 0;
  12.     end
  13.     always @(posedge clk)
  14.     begin
  15.         case({J,K})
  16.             2'b00 : Q <= Q;
  17.             2'b01 : Q <= 1'b0;
  18.             2'b10 : Q <= 1'b1;
  19.             2'b11 : Q <= ~Q;
  20.         endcase
  21.     end
  22. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement