Advertisement
Guest User

Untitled

a guest
Feb 21st, 2018
71
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.91 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2.  
  3. ////////////////////////////////////////////////////////////////////////////////
  4. // Company:
  5. // Engineer:
  6. //
  7. // Create Date: 17:47:53 02/21/2018
  8. // Design Name: disp_mux_main_bh
  9. // Module Name: C:/Users/ulyana/Desktop/CS120A_Files/Lab 4/LEDdisplay/LEDDisp.v
  10. // Project Name: LEDdisplay
  11. // Target Device:
  12. // Tool versions:
  13. // Description:
  14. //
  15. // Verilog Test Fixture created by ISE for module: disp_mux_main_bh
  16. //
  17. // Dependencies:
  18. //
  19. // Revision:
  20. // Revision 0.01 - File Created
  21. // Additional Comments:
  22. //
  23. ////////////////////////////////////////////////////////////////////////////////
  24.  
  25. module LEDDisp;
  26.  
  27. // Inputs
  28. reg clk;
  29. reg reset;
  30. reg sw0;
  31. reg sw1;
  32. reg sw2;
  33. reg sw3;
  34.  
  35. // Outputs
  36. wire [3:0] an;
  37. wire [7:0] sseg;
  38.  
  39. // Instantiate the Unit Under Test (UUT)
  40. disp_mux_main_bh uut (
  41. .clk(clk),
  42. .reset(reset),
  43. .sw0(sw0),
  44. .sw1(sw1),
  45. .sw2(sw2),
  46. .sw3(sw3),
  47. .an(an),
  48. .sseg(sseg)
  49. );
  50. always begin
  51. clk = !clk;
  52. #1;
  53. end
  54.  
  55. initial begin
  56. // Initialize Inputs
  57. clk = 0;
  58. reset = 1;
  59. sw0 = 0;
  60. sw1 = 0;
  61. sw2 = 0;
  62. sw3 = 0;
  63.  
  64. // Wait 100 ns for global reset to finish
  65. //#100;
  66.  
  67. sw0 = 1;
  68. sw1 = 0;
  69. sw2 = 0;
  70. sw3 = 0;
  71.  
  72. // Wait 100 ns for global reset to finish
  73. //#100;
  74.  
  75. sw0 = 0;
  76. sw1 = 1;
  77. sw2 = 0;
  78. sw3 = 0;
  79.  
  80. // Wait 100 ns for global reset to finish
  81. //#100;
  82.  
  83. sw0 = 1;
  84. sw1 = 1;
  85. sw2 = 0;
  86. sw3 = 0;
  87.  
  88. // Wait 100 ns for global reset to finish
  89. //#100;
  90.  
  91. sw0 = 0;
  92. sw1 = 0;
  93. sw2 = 1;
  94. sw3 = 0;
  95.  
  96. // Wait 100 ns for global reset to finish
  97. #100;
  98.  
  99. sw0 = 1;
  100. sw1 = 0;
  101. sw2 = 1;
  102. sw3 = 0;
  103.  
  104. // Wait 100 ns for global reset to finish
  105. #100;
  106.  
  107. sw0 = 0;
  108. sw1 = 1;
  109. sw2 = 1;
  110. sw3 = 0;
  111.  
  112. // Wait 100 ns for global reset to finish
  113. #100;
  114.  
  115. sw0 = 1;
  116. sw1 = 1;
  117. sw2 = 1;
  118. sw3 = 0;
  119.  
  120. // Wait 100 ns for global reset to finish
  121. #100;
  122.  
  123. reset = 0;
  124. sw0 = 0;
  125. sw1 = 0;
  126. sw2 = 0;
  127. sw3 = 1;
  128.  
  129. // Wait 100 ns for global reset to finish
  130. #100;
  131.  
  132. sw0 = 1;
  133. sw1 = 0;
  134. sw2 = 0;
  135. sw3 = 1;
  136.  
  137. // Wait 100 ns for global reset to finish
  138. #100;
  139.  
  140. sw0 = 0;
  141. sw1 = 1;
  142. sw2 = 0;
  143. sw3 = 1;
  144.  
  145. // Wait 100 ns for global reset to finish
  146. #100;
  147.  
  148. sw0 = 1;
  149. sw1 = 1;
  150. sw2 = 0;
  151. sw3 = 1;
  152.  
  153. // Wait 100 ns for global reset to finish
  154. #100;
  155.  
  156. sw0 = 0;
  157. sw1 = 0;
  158. sw2 = 1;
  159. sw3 = 1;
  160. // Add stimulus here
  161.  
  162. // Wait 100 ns for global reset to finish
  163. #100;
  164.  
  165. sw0 = 0;
  166. sw1 = 0;
  167. sw2 = 1;
  168. sw3 = 1;
  169. // Wait 100 ns for global reset to finish
  170. #100;
  171.  
  172. sw0 = 1;
  173. sw1 = 0;
  174. sw2 = 1;
  175. sw3 = 1;
  176. // Wait 100 ns for global reset to finish
  177. #100;
  178.  
  179. sw0 = 0;
  180. sw1 = 1;
  181. sw2 = 1;
  182. sw3 = 1;
  183. // Wait 100 ns for global reset to finish
  184. #100;
  185.  
  186. sw0 = 1;
  187. sw1 = 1;
  188. sw2 = 1;
  189. sw3 = 1;
  190.  
  191.  
  192.  
  193. end
  194.  
  195. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement