Advertisement
Guest User

counter_test

a guest
Apr 12th, 2017
77
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2.  
  3. module counter_test;
  4.  
  5. logic clk,rst;
  6. logic [2:0] periods;
  7. logic [2:0] result;
  8.  
  9. initial begin
  10.     clk=0;                
  11.     forever #10 clk = ~clk;
  12. end
  13.  
  14. initial
  15. begin
  16.     rst=0;    
  17.         periods=3;
  18.  
  19.     @(negedge clk) rst=1;
  20.     #1000;
  21.         @(negedge clk) rst=0;
  22.     @(negedge clk) rst=1;
  23.     #300;
  24.     $stop;
  25. end
  26.  
  27. bin_cnt uut_inst(
  28.     .clk(clk), .resetn(rst),
  29.     .periods(periods),
  30.     .cnt(result)
  31. );
  32.  
  33. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement