Advertisement
lasthunter657

Untitled

Dec 12th, 2021
170
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.03 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. USE IEEE.numeric_std.ALL;
  4. USE work.ITCE211Project_library.ALL;
  5.  
  6. ENTITY pe IS
  7.  
  8. PORT (
  9. clk : IN STD_LOGIC;
  10. eni,reset : in std_logic:='0' ;
  11. numberin : IN STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0) := (OTHERS => '0');
  12. numberout: OUT STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0) := (OTHERS => '0');
  13. eno : OUT STD_LOGIC :='0'
  14. );
  15.  
  16. END entity ;
  17.  
  18. ARCHITECTURE behavior OF pe IS
  19.  
  20. SIGNAL stored : STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0) := (OTHERS => '0');
  21.  
  22.  
  23. BEGIN
  24.  
  25. PROCESS (clk)
  26. BEGIN
  27. IF rising_edge (clk) THEN
  28. IF eni = '1' THEN
  29. IF numberin > stored THEN
  30. eno <= '1';
  31. numberout <= stored;
  32. stored <= numberin;
  33. ELSE
  34. eno <= '1';
  35. numberout <= numberin;
  36. END IF;
  37. END IF;
  38. END IF;
  39.  
  40. END PROCESS;
  41.  
  42. END ARCHITECTURE ;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement