Advertisement
Guest User

ssss

a guest
Mar 26th, 2020
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.47 KB | None | 0 0
  1. # Clock constraints
  2. create_clock -name " clk " -period 20 .000ns [ get_ports { clk }]
  3.  
  4. # Automatically constrain PLL and other generated clocks
  5. derive_pll_clocks -create_base_clocks
  6.  
  7. # Automatically calculate clock uncertainty to jitter and other effects.
  8. derive_clock_uncertainty
  9.  
  10. set_false_path - from [ get_clocks { pll_inst | altpll_component | auto_generated | pll1 |
  11. clk [0]}] -to [ get_clocks { pll_inst | altpll_component | auto_generated | pll1 | clk
  12. [1]}];
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement