Advertisement
Guest User

Untitled

a guest
Oct 18th, 2019
117
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. entity halfadder is
  5. port(a, b: in bit;
  6. s, c: out bit);
  7. end halfadder;
  8.  
  9. architecture DATAFLOW of halfadder is
  10. begin
  11. s <= A xor B;
  12. c <= A and B;
  13. end DATAFLOW;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement